1 |
commit: 51c14fa5dfdce1fe6261e2ee61c35afd2e2ac7d6 |
2 |
Author: Anthony G. Basile <blueness <AT> gentoo <DOT> org> |
3 |
AuthorDate: Wed Jan 4 00:31:15 2017 +0000 |
4 |
Commit: Anthony G. Basile <blueness <AT> gentoo <DOT> org> |
5 |
CommitDate: Wed Jan 4 00:31:15 2017 +0000 |
6 |
URL: https://gitweb.gentoo.org/proj/blogs-gentoo.git/commit/?id=51c14fa5 |
7 |
|
8 |
Update plugin wp-syntax to 1.1 |
9 |
|
10 |
plugins/wp-syntax/README.txt | 18 +- |
11 |
plugins/wp-syntax/css/wp-syntax.css | 15 +- |
12 |
plugins/wp-syntax/geshi/geshi.php | 63 +- |
13 |
plugins/wp-syntax/geshi/geshi/4cs.php | 4 +- |
14 |
plugins/wp-syntax/geshi/geshi/6502acme.php | 4 +- |
15 |
plugins/wp-syntax/geshi/geshi/6502kickass.php | 4 +- |
16 |
plugins/wp-syntax/geshi/geshi/6502tasm.php | 4 +- |
17 |
plugins/wp-syntax/geshi/geshi/68000devpac.php | 4 +- |
18 |
plugins/wp-syntax/geshi/geshi/abap.php | 4 +- |
19 |
plugins/wp-syntax/geshi/geshi/actionscript.php | 4 +- |
20 |
plugins/wp-syntax/geshi/geshi/actionscript3.php | 4 +- |
21 |
plugins/wp-syntax/geshi/geshi/ada.php | 7 +- |
22 |
plugins/wp-syntax/geshi/geshi/aimms.php | 316 +++++++ |
23 |
plugins/wp-syntax/geshi/geshi/algol68.php | 7 +- |
24 |
plugins/wp-syntax/geshi/geshi/apache.php | 4 +- |
25 |
plugins/wp-syntax/geshi/geshi/applescript.php | 4 +- |
26 |
plugins/wp-syntax/geshi/geshi/apt_sources.php | 17 +- |
27 |
plugins/wp-syntax/geshi/geshi/arm.php | 4 +- |
28 |
plugins/wp-syntax/geshi/geshi/asm.php | 4 +- |
29 |
plugins/wp-syntax/geshi/geshi/asp.php | 4 +- |
30 |
plugins/wp-syntax/geshi/geshi/asymptote.php | 4 +- |
31 |
plugins/wp-syntax/geshi/geshi/autoconf.php | 4 +- |
32 |
plugins/wp-syntax/geshi/geshi/autohotkey.php | 4 +- |
33 |
plugins/wp-syntax/geshi/geshi/autoit.php | 4 +- |
34 |
plugins/wp-syntax/geshi/geshi/avisynth.php | 3 +- |
35 |
plugins/wp-syntax/geshi/geshi/awk.php | 4 +- |
36 |
plugins/wp-syntax/geshi/geshi/bascomavr.php | 4 +- |
37 |
plugins/wp-syntax/geshi/geshi/bash.php | 70 +- |
38 |
plugins/wp-syntax/geshi/geshi/basic4gl.php | 4 +- |
39 |
plugins/wp-syntax/geshi/geshi/bf.php | 4 +- |
40 |
plugins/wp-syntax/geshi/geshi/bibtex.php | 4 +- |
41 |
plugins/wp-syntax/geshi/geshi/blitzbasic.php | 4 +- |
42 |
plugins/wp-syntax/geshi/geshi/bnf.php | 4 +- |
43 |
plugins/wp-syntax/geshi/geshi/boo.php | 4 +- |
44 |
plugins/wp-syntax/geshi/geshi/c.php | 4 +- |
45 |
plugins/wp-syntax/geshi/geshi/c_loadrunner.php | 4 +- |
46 |
plugins/wp-syntax/geshi/geshi/c_mac.php | 4 +- |
47 |
plugins/wp-syntax/geshi/geshi/c_winapi.php | 870 +++++++++++++++++++ |
48 |
plugins/wp-syntax/geshi/geshi/caddcl.php | 4 +- |
49 |
plugins/wp-syntax/geshi/geshi/cadlisp.php | 4 +- |
50 |
plugins/wp-syntax/geshi/geshi/ceylon.php | 174 ++++ |
51 |
plugins/wp-syntax/geshi/geshi/cfdg.php | 4 +- |
52 |
plugins/wp-syntax/geshi/geshi/cfm.php | 4 +- |
53 |
plugins/wp-syntax/geshi/geshi/chaiscript.php | 4 +- |
54 |
plugins/wp-syntax/geshi/geshi/chapel.php | 169 ++++ |
55 |
plugins/wp-syntax/geshi/geshi/cil.php | 4 +- |
56 |
plugins/wp-syntax/geshi/geshi/clojure.php | 4 +- |
57 |
plugins/wp-syntax/geshi/geshi/cmake.php | 4 +- |
58 |
plugins/wp-syntax/geshi/geshi/cobol.php | 495 ++++++++--- |
59 |
plugins/wp-syntax/geshi/geshi/coffeescript.php | 4 +- |
60 |
plugins/wp-syntax/geshi/geshi/cpp-qt.php | 726 +++++++++------- |
61 |
plugins/wp-syntax/geshi/geshi/cpp-winapi.php | 836 ++++++++++++++++++ |
62 |
plugins/wp-syntax/geshi/geshi/cpp.php | 34 +- |
63 |
plugins/wp-syntax/geshi/geshi/csharp.php | 4 +- |
64 |
plugins/wp-syntax/geshi/geshi/css.php | 301 +++++-- |
65 |
plugins/wp-syntax/geshi/geshi/cuesheet.php | 4 +- |
66 |
plugins/wp-syntax/geshi/geshi/d.php | 4 +- |
67 |
plugins/wp-syntax/geshi/geshi/dart.php | 159 ++++ |
68 |
plugins/wp-syntax/geshi/geshi/dcl.php | 4 +- |
69 |
plugins/wp-syntax/geshi/geshi/dcpu16.php | 6 +- |
70 |
plugins/wp-syntax/geshi/geshi/dcs.php | 4 +- |
71 |
plugins/wp-syntax/geshi/geshi/delphi.php | 4 +- |
72 |
plugins/wp-syntax/geshi/geshi/diff.php | 4 +- |
73 |
plugins/wp-syntax/geshi/geshi/div.php | 4 +- |
74 |
plugins/wp-syntax/geshi/geshi/dos.php | 4 +- |
75 |
plugins/wp-syntax/geshi/geshi/dot.php | 4 +- |
76 |
plugins/wp-syntax/geshi/geshi/e.php | 4 +- |
77 |
plugins/wp-syntax/geshi/geshi/ecmascript.php | 4 +- |
78 |
plugins/wp-syntax/geshi/geshi/eiffel.php | 4 +- |
79 |
plugins/wp-syntax/geshi/geshi/email.php | 4 +- |
80 |
plugins/wp-syntax/geshi/geshi/epc.php | 4 +- |
81 |
plugins/wp-syntax/geshi/geshi/erlang.php | 10 +- |
82 |
plugins/wp-syntax/geshi/geshi/euphoria.php | 4 +- |
83 |
plugins/wp-syntax/geshi/geshi/ezt.php | 134 +++ |
84 |
plugins/wp-syntax/geshi/geshi/f1.php | 4 +- |
85 |
plugins/wp-syntax/geshi/geshi/falcon.php | 3 +- |
86 |
plugins/wp-syntax/geshi/geshi/fo.php | 3 +- |
87 |
plugins/wp-syntax/geshi/geshi/fortran.php | 4 +- |
88 |
plugins/wp-syntax/geshi/geshi/freebasic.php | 4 +- |
89 |
plugins/wp-syntax/geshi/geshi/freeswitch.php | 4 +- |
90 |
plugins/wp-syntax/geshi/geshi/fsharp.php | 4 +- |
91 |
plugins/wp-syntax/geshi/geshi/gambas.php | 4 +- |
92 |
plugins/wp-syntax/geshi/geshi/gdb.php | 8 +- |
93 |
plugins/wp-syntax/geshi/geshi/genero.php | 4 +- |
94 |
plugins/wp-syntax/geshi/geshi/genie.php | 6 +- |
95 |
plugins/wp-syntax/geshi/geshi/gettext.php | 6 +- |
96 |
plugins/wp-syntax/geshi/geshi/glsl.php | 4 +- |
97 |
plugins/wp-syntax/geshi/geshi/gml.php | 649 ++++++-------- |
98 |
plugins/wp-syntax/geshi/geshi/gnuplot.php | 4 +- |
99 |
plugins/wp-syntax/geshi/geshi/go.php | 6 +- |
100 |
plugins/wp-syntax/geshi/geshi/groovy.php | 4 +- |
101 |
plugins/wp-syntax/geshi/geshi/gwbasic.php | 4 +- |
102 |
plugins/wp-syntax/geshi/geshi/haskell.php | 65 +- |
103 |
plugins/wp-syntax/geshi/geshi/haxe.php | 5 +- |
104 |
plugins/wp-syntax/geshi/geshi/hicest.php | 4 +- |
105 |
plugins/wp-syntax/geshi/geshi/hq9plus.php | 4 +- |
106 |
plugins/wp-syntax/geshi/geshi/html4strict.php | 4 +- |
107 |
plugins/wp-syntax/geshi/geshi/html5.php | 4 +- |
108 |
plugins/wp-syntax/geshi/geshi/icon.php | 4 +- |
109 |
plugins/wp-syntax/geshi/geshi/idl.php | 4 +- |
110 |
plugins/wp-syntax/geshi/geshi/ini.php | 7 +- |
111 |
plugins/wp-syntax/geshi/geshi/inno.php | 4 +- |
112 |
plugins/wp-syntax/geshi/geshi/intercal.php | 4 +- |
113 |
plugins/wp-syntax/geshi/geshi/io.php | 4 +- |
114 |
plugins/wp-syntax/geshi/geshi/ispfpanel.php | 165 ++++ |
115 |
plugins/wp-syntax/geshi/geshi/j.php | 4 +- |
116 |
plugins/wp-syntax/geshi/geshi/java.php | 5 +- |
117 |
plugins/wp-syntax/geshi/geshi/java5.php | 4 +- |
118 |
plugins/wp-syntax/geshi/geshi/javascript.php | 4 +- |
119 |
plugins/wp-syntax/geshi/geshi/jcl.php | 155 ++++ |
120 |
plugins/wp-syntax/geshi/geshi/jquery.php | 4 +- |
121 |
plugins/wp-syntax/geshi/geshi/kixtart.php | 4 +- |
122 |
plugins/wp-syntax/geshi/geshi/klonec.php | 4 +- |
123 |
plugins/wp-syntax/geshi/geshi/klonecpp.php | 4 +- |
124 |
plugins/wp-syntax/geshi/geshi/latex.php | 6 +- |
125 |
plugins/wp-syntax/geshi/geshi/lb.php | 4 +- |
126 |
plugins/wp-syntax/geshi/geshi/ldif.php | 4 +- |
127 |
plugins/wp-syntax/geshi/geshi/lisp.php | 11 +- |
128 |
plugins/wp-syntax/geshi/geshi/llvm.php | 4 +- |
129 |
plugins/wp-syntax/geshi/geshi/locobasic.php | 4 +- |
130 |
plugins/wp-syntax/geshi/geshi/logtalk.php | 20 +- |
131 |
plugins/wp-syntax/geshi/geshi/lolcode.php | 19 +- |
132 |
plugins/wp-syntax/geshi/geshi/lotusformulas.php | 6 +- |
133 |
plugins/wp-syntax/geshi/geshi/lotusscript.php | 4 +- |
134 |
plugins/wp-syntax/geshi/geshi/lscript.php | 4 +- |
135 |
plugins/wp-syntax/geshi/geshi/lsl2.php | 448 +++++++++- |
136 |
plugins/wp-syntax/geshi/geshi/lua.php | 10 +- |
137 |
plugins/wp-syntax/geshi/geshi/m68k.php | 4 +- |
138 |
plugins/wp-syntax/geshi/geshi/magiksf.php | 6 +- |
139 |
plugins/wp-syntax/geshi/geshi/make.php | 4 +- |
140 |
plugins/wp-syntax/geshi/geshi/mapbasic.php | 4 +- |
141 |
plugins/wp-syntax/geshi/geshi/matlab.php | 4 +- |
142 |
plugins/wp-syntax/geshi/geshi/mirc.php | 4 +- |
143 |
plugins/wp-syntax/geshi/geshi/mmix.php | 4 +- |
144 |
plugins/wp-syntax/geshi/geshi/modula2.php | 4 +- |
145 |
plugins/wp-syntax/geshi/geshi/modula3.php | 4 +- |
146 |
plugins/wp-syntax/geshi/geshi/mpasm.php | 4 +- |
147 |
plugins/wp-syntax/geshi/geshi/mxml.php | 4 +- |
148 |
plugins/wp-syntax/geshi/geshi/mysql.php | 5 +- |
149 |
plugins/wp-syntax/geshi/geshi/nagios.php | 4 +- |
150 |
plugins/wp-syntax/geshi/geshi/netrexx.php | 4 +- |
151 |
plugins/wp-syntax/geshi/geshi/newlisp.php | 4 +- |
152 |
plugins/wp-syntax/geshi/geshi/nginx.php | 868 +++++++++++++++++++ |
153 |
plugins/wp-syntax/geshi/geshi/nimrod.php | 201 +++++ |
154 |
plugins/wp-syntax/geshi/geshi/nsis.php | 40 +- |
155 |
plugins/wp-syntax/geshi/geshi/oberon2.php | 4 +- |
156 |
plugins/wp-syntax/geshi/geshi/objc.php | 4 +- |
157 |
plugins/wp-syntax/geshi/geshi/objeck.php | 4 +- |
158 |
plugins/wp-syntax/geshi/geshi/ocaml-brief.php | 4 +- |
159 |
plugins/wp-syntax/geshi/geshi/ocaml.php | 4 +- |
160 |
plugins/wp-syntax/geshi/geshi/octave.php | 42 +- |
161 |
plugins/wp-syntax/geshi/geshi/oobas.php | 4 +- |
162 |
plugins/wp-syntax/geshi/geshi/oorexx.php | 4 +- |
163 |
plugins/wp-syntax/geshi/geshi/oracle11.php | 4 +- |
164 |
plugins/wp-syntax/geshi/geshi/oracle8.php | 4 +- |
165 |
plugins/wp-syntax/geshi/geshi/oxygene.php | 13 +- |
166 |
plugins/wp-syntax/geshi/geshi/oz.php | 4 +- |
167 |
plugins/wp-syntax/geshi/geshi/parasail.php | 13 +- |
168 |
plugins/wp-syntax/geshi/geshi/parigp.php | 234 ++--- |
169 |
plugins/wp-syntax/geshi/geshi/pascal.php | 4 +- |
170 |
plugins/wp-syntax/geshi/geshi/pcre.php | 4 +- |
171 |
plugins/wp-syntax/geshi/geshi/per.php | 4 +- |
172 |
plugins/wp-syntax/geshi/geshi/perl.php | 4 +- |
173 |
plugins/wp-syntax/geshi/geshi/perl6.php | 4 +- |
174 |
plugins/wp-syntax/geshi/geshi/pf.php | 4 +- |
175 |
plugins/wp-syntax/geshi/geshi/php-brief.php | 4 +- |
176 |
plugins/wp-syntax/geshi/geshi/php.php | 10 +- |
177 |
plugins/wp-syntax/geshi/geshi/pic16.php | 4 +- |
178 |
plugins/wp-syntax/geshi/geshi/pike.php | 4 +- |
179 |
plugins/wp-syntax/geshi/geshi/pixelbender.php | 5 +- |
180 |
plugins/wp-syntax/geshi/geshi/pli.php | 4 +- |
181 |
plugins/wp-syntax/geshi/geshi/plsql.php | 4 +- |
182 |
plugins/wp-syntax/geshi/geshi/postgresql.php | 5 +- |
183 |
plugins/wp-syntax/geshi/geshi/postscript.php | 217 +++++ |
184 |
plugins/wp-syntax/geshi/geshi/povray.php | 3 +- |
185 |
plugins/wp-syntax/geshi/geshi/powerbuilder.php | 7 +- |
186 |
plugins/wp-syntax/geshi/geshi/powershell.php | 4 +- |
187 |
plugins/wp-syntax/geshi/geshi/proftpd.php | 12 +- |
188 |
plugins/wp-syntax/geshi/geshi/progress.php | 4 +- |
189 |
plugins/wp-syntax/geshi/geshi/prolog.php | 4 +- |
190 |
plugins/wp-syntax/geshi/geshi/properties.php | 4 +- |
191 |
plugins/wp-syntax/geshi/geshi/providex.php | 4 +- |
192 |
plugins/wp-syntax/geshi/geshi/purebasic.php | 4 +- |
193 |
plugins/wp-syntax/geshi/geshi/pycon.php | 4 +- |
194 |
plugins/wp-syntax/geshi/geshi/pys60.php | 4 +- |
195 |
plugins/wp-syntax/geshi/geshi/python.php | 4 +- |
196 |
plugins/wp-syntax/geshi/geshi/q.php | 4 +- |
197 |
plugins/wp-syntax/geshi/geshi/qbasic.php | 4 +- |
198 |
plugins/wp-syntax/geshi/geshi/qml.php | 351 ++++++++ |
199 |
plugins/wp-syntax/geshi/geshi/racket.php | 964 +++++++++++++++++++++ |
200 |
plugins/wp-syntax/geshi/geshi/rails.php | 6 +- |
201 |
plugins/wp-syntax/geshi/geshi/{vb.php => rbs.php} | 145 +++- |
202 |
plugins/wp-syntax/geshi/geshi/rebol.php | 4 +- |
203 |
plugins/wp-syntax/geshi/geshi/reg.php | 4 +- |
204 |
plugins/wp-syntax/geshi/geshi/rexx.php | 4 +- |
205 |
plugins/wp-syntax/geshi/geshi/robots.php | 4 +- |
206 |
plugins/wp-syntax/geshi/geshi/rpmspec.php | 4 +- |
207 |
plugins/wp-syntax/geshi/geshi/rsplus.php | 4 +- |
208 |
plugins/wp-syntax/geshi/geshi/ruby.php | 4 +- |
209 |
plugins/wp-syntax/geshi/geshi/rust.php | 235 +++++ |
210 |
plugins/wp-syntax/geshi/geshi/sas.php | 4 +- |
211 |
plugins/wp-syntax/geshi/geshi/scala.php | 4 +- |
212 |
plugins/wp-syntax/geshi/geshi/scheme.php | 4 +- |
213 |
plugins/wp-syntax/geshi/geshi/scilab.php | 4 +- |
214 |
plugins/wp-syntax/geshi/geshi/scl.php | 148 ++++ |
215 |
plugins/wp-syntax/geshi/geshi/sdlbasic.php | 4 +- |
216 |
plugins/wp-syntax/geshi/geshi/smalltalk.php | 4 +- |
217 |
plugins/wp-syntax/geshi/geshi/smarty.php | 4 +- |
218 |
plugins/wp-syntax/geshi/geshi/spark.php | 7 +- |
219 |
plugins/wp-syntax/geshi/geshi/sparql.php | 4 +- |
220 |
plugins/wp-syntax/geshi/geshi/sql.php | 13 +- |
221 |
plugins/wp-syntax/geshi/geshi/standardml.php | 216 +++++ |
222 |
plugins/wp-syntax/geshi/geshi/stonescript.php | 4 +- |
223 |
plugins/wp-syntax/geshi/geshi/systemverilog.php | 10 +- |
224 |
plugins/wp-syntax/geshi/geshi/tcl.php | 12 +- |
225 |
plugins/wp-syntax/geshi/geshi/teraterm.php | 4 +- |
226 |
plugins/wp-syntax/geshi/geshi/text.php | 8 +- |
227 |
plugins/wp-syntax/geshi/geshi/thinbasic.php | 4 +- |
228 |
plugins/wp-syntax/geshi/geshi/tsql.php | 18 +- |
229 |
plugins/wp-syntax/geshi/geshi/typoscript.php | 8 +- |
230 |
plugins/wp-syntax/geshi/geshi/unicon.php | 4 +- |
231 |
plugins/wp-syntax/geshi/geshi/upc.php | 4 +- |
232 |
plugins/wp-syntax/geshi/geshi/urbi.php | 4 +- |
233 |
plugins/wp-syntax/geshi/geshi/uscript.php | 4 +- |
234 |
plugins/wp-syntax/geshi/geshi/vala.php | 4 +- |
235 |
plugins/wp-syntax/geshi/geshi/vb.php | 18 +- |
236 |
plugins/wp-syntax/geshi/geshi/vbnet.php | 4 +- |
237 |
.../wp-syntax/geshi/geshi/{vb.php => vbscript.php} | 90 +- |
238 |
plugins/wp-syntax/geshi/geshi/vedit.php | 4 +- |
239 |
plugins/wp-syntax/geshi/geshi/verilog.php | 62 +- |
240 |
plugins/wp-syntax/geshi/geshi/vhdl.php | 4 +- |
241 |
plugins/wp-syntax/geshi/geshi/vim.php | 4 +- |
242 |
plugins/wp-syntax/geshi/geshi/visualfoxpro.php | 4 +- |
243 |
plugins/wp-syntax/geshi/geshi/visualprolog.php | 4 +- |
244 |
plugins/wp-syntax/geshi/geshi/whitespace.php | 4 +- |
245 |
plugins/wp-syntax/geshi/geshi/whois.php | 7 +- |
246 |
plugins/wp-syntax/geshi/geshi/winbatch.php | 4 +- |
247 |
plugins/wp-syntax/geshi/geshi/xbasic.php | 4 +- |
248 |
plugins/wp-syntax/geshi/geshi/xml.php | 4 +- |
249 |
plugins/wp-syntax/geshi/geshi/xorg_conf.php | 4 +- |
250 |
plugins/wp-syntax/geshi/geshi/xpp.php | 4 +- |
251 |
plugins/wp-syntax/geshi/geshi/yaml.php | 13 +- |
252 |
plugins/wp-syntax/geshi/geshi/z80.php | 4 +- |
253 |
plugins/wp-syntax/geshi/geshi/zxbasic.php | 4 +- |
254 |
plugins/wp-syntax/js/wp-syntax.js | 78 +- |
255 |
plugins/wp-syntax/test/code.php | 101 --- |
256 |
plugins/wp-syntax/test/index.php | 206 ----- |
257 |
plugins/wp-syntax/wp-syntax.css | 43 - |
258 |
plugins/wp-syntax/wp-syntax.php | 892 +++++++++++-------- |
259 |
249 files changed, 9238 insertions(+), 2799 deletions(-) |
260 |
|
261 |
diff --git a/plugins/wp-syntax/README.txt b/plugins/wp-syntax/README.txt |
262 |
index 2187a72..27ff7ba 100644 |
263 |
--- a/plugins/wp-syntax/README.txt |
264 |
+++ b/plugins/wp-syntax/README.txt |
265 |
@@ -3,8 +3,8 @@ Contributors: shazahm1@×××××××.com |
266 |
Donate link: http://wp-syntax.com/send-donation/ |
267 |
Tags: syntax highlighting, syntax, highlight, code, formatting, code, CSS, html, php, sourcecode |
268 |
Requires at least: 3.0 |
269 |
-Tested up to: 3.5.1 |
270 |
-Stable tag: 1.0 |
271 |
+Tested up to: 4.7 |
272 |
+Stable tag: 1.1 |
273 |
|
274 |
WP-Syntax provides clean syntax highlighting for embedding source code within pages or posts. |
275 |
|
276 |
@@ -185,6 +185,20 @@ review the [GeSHi Documentation](http://qbnz.com/highlighter/geshi-doc.html). |
277 |
|
278 |
== Changelog == |
279 |
|
280 |
+= 1.1 12/14/2016 = |
281 |
+* NEW: Introduce caching of the syntax highlighted code rather than parsing it on page load. |
282 |
+* NEW: Introduce double click to copy code from highlight code block. Props zavr! |
283 |
+* TWEAK: PHP 7.1 compatibility. |
284 |
+* TWEAK: Remove horizontal padding from caption. |
285 |
+* TWEAK: Remove duplicate CSS padding property. |
286 |
+* TWEAK: Remove the unnecessary px from properties set as `0` in CSS. |
287 |
+* TWEAK: Adjust font size of highlight code for better vertical alignment. |
288 |
+* BUG: Ensure string is return in caption. |
289 |
+* BUG: Fix line numbering bug. |
290 |
+* BUG: Remove old plugin file. |
291 |
+* OTHER: UPDATE GeSHi to latest dev branch. |
292 |
+* DEV: Correct phpDoc errors. |
293 |
+ |
294 |
= 1.0 02/09/2013 = |
295 |
* NEW: CSS3 for alternating background lines for easier reading. |
296 |
* OTHER: Completely refactor code to utilize current best practices for plugin development which will provide a solid foundation for further development. |
297 |
|
298 |
diff --git a/plugins/wp-syntax/css/wp-syntax.css b/plugins/wp-syntax/css/wp-syntax.css |
299 |
index 2401f78..e06789b 100644 |
300 |
--- a/plugins/wp-syntax/css/wp-syntax.css |
301 |
+++ b/plugins/wp-syntax/css/wp-syntax.css |
302 |
@@ -24,7 +24,7 @@ |
303 |
|
304 |
.wp_syntax caption { |
305 |
margin : 0 !important; |
306 |
- padding : 2px !important; |
307 |
+ padding : 2px 0 !important; |
308 |
width : 100% !important; |
309 |
background-color: #def !important; |
310 |
text-align : left !important; |
311 |
@@ -72,19 +72,18 @@ |
312 |
background : transparent !important; |
313 |
border : none !important; |
314 |
margin : 0 !important; |
315 |
- padding : 0 !important; |
316 |
width : auto !important; |
317 |
float : none !important; |
318 |
clear : none !important; |
319 |
overflow : visible !important; |
320 |
font-family : monospace !important; |
321 |
- font-size : 12px !important; |
322 |
+ font-size : 14px !important; |
323 |
line-height : 16px !important; |
324 |
padding : 0 4px !important; |
325 |
white-space : pre !important; |
326 |
- -moz-box-shadow : 0px 0px 0px rgba(0, 0, 0, 0) !important; /* FF3.5+ */ |
327 |
- -webkit-box-shadow : 0px 0px 0px rgba(0, 0, 0, 0) !important; /* Saf3.0+, Chrome */ |
328 |
- box-shadow : 0px 0px 0px rgba(0, 0, 0, 0) !important; /* Opera 10.5, IE 9.0 */ |
329 |
+ -moz-box-shadow : 0 0 0 rgba(0, 0, 0, 0) !important; /* FF3.5+ */ |
330 |
+ -webkit-box-shadow : 0 0 0 rgba(0, 0, 0, 0) !important; /* Saf3.0+, Chrome */ |
331 |
+ box-shadow : 0 0 0 rgba(0, 0, 0, 0) !important; /* Opera 10.5, IE 9.0 */ |
332 |
-webkit-border-radius: 0 !important; |
333 |
-moz-border-radius : 0 !important; |
334 |
border-radius : 0 !important; |
335 |
@@ -94,5 +93,5 @@ |
336 |
background-color: #def !important; |
337 |
color : gray !important; |
338 |
text-align : right !important; |
339 |
- width : 16px !important; |
340 |
-} |
341 |
\ No newline at end of file |
342 |
+ min-width : 16px !important; |
343 |
+} |
344 |
|
345 |
diff --git a/plugins/wp-syntax/geshi/geshi.php b/plugins/wp-syntax/geshi/geshi.php |
346 |
index c6ff9ef..0cc4f29 100644 |
347 |
--- a/plugins/wp-syntax/geshi/geshi.php |
348 |
+++ b/plugins/wp-syntax/geshi/geshi.php |
349 |
@@ -28,7 +28,7 @@ |
350 |
* @package geshi |
351 |
* @subpackage core |
352 |
* @author Nigel McNie <nigel@×××××.org>, Benny Baumann <BenBE@××××××××.de> |
353 |
- * @copyright (C) 2004 - 2007 Nigel McNie, (C) 2007 - 2008 Benny Baumann |
354 |
+ * @copyright (C) 2004 - 2007 Nigel McNie, (C) 2007 - 2014 Benny Baumann |
355 |
* @license http://gnu.org/copyleft/gpl.html GNU GPL |
356 |
* |
357 |
*/ |
358 |
@@ -41,7 +41,7 @@ |
359 |
// |
360 |
|
361 |
/** The version of this GeSHi file */ |
362 |
-define('GESHI_VERSION', '1.0.8.11'); |
363 |
+define('GESHI_VERSION', '1.0.8.12'); |
364 |
|
365 |
// Define the root directory for the GeSHi code tree |
366 |
if (!defined('GESHI_ROOT')) { |
367 |
@@ -254,7 +254,7 @@ define('GESHI_ERROR_INVALID_LINE_NUMBER_TYPE', 5); |
368 |
* |
369 |
* @package geshi |
370 |
* @author Nigel McNie <nigel@×××××.org>, Benny Baumann <BenBE@××××××××.de> |
371 |
- * @copyright (C) 2004 - 2007 Nigel McNie, (C) 2007 - 2008 Benny Baumann |
372 |
+ * @copyright (C) 2004 - 2007 Nigel McNie, (C) 2007 - 2014 Benny Baumann |
373 |
*/ |
374 |
class GeSHi { |
375 |
/**#@+ |
376 |
@@ -594,11 +594,11 @@ class GeSHi { |
377 |
* {@link GeSHi->set_language_path()} |
378 |
* @since 1.0.0 |
379 |
*/ |
380 |
- function GeSHi($source = '', $language = '', $path = '') { |
381 |
- if (!empty($source)) { |
382 |
+ function __construct($source = '', $language = '', $path = '') { |
383 |
+ if ( is_string($source) && ($source !== '') ) { |
384 |
$this->set_source($source); |
385 |
} |
386 |
- if (!empty($language)) { |
387 |
+ if ( is_string($language) && ($language !== '') ) { |
388 |
$this->set_language($language); |
389 |
} |
390 |
$this->set_language_path($path); |
391 |
@@ -617,7 +617,7 @@ class GeSHi { |
392 |
|
393 |
/** |
394 |
* Returns an error message associated with the last GeSHi operation, |
395 |
- * or false if no error has occured |
396 |
+ * or false if no error has occurred |
397 |
* |
398 |
* @return string|false An error message if there has been an error, else false |
399 |
* @since 1.0.0 |
400 |
@@ -1019,10 +1019,20 @@ class GeSHi { |
401 |
*/ |
402 |
function set_keyword_group_style($key, $style, $preserve_defaults = false) { |
403 |
//Set the style for this keyword group |
404 |
- if (!$preserve_defaults) { |
405 |
- $this->language_data['STYLES']['KEYWORDS'][$key] = $style; |
406 |
+ if('*' == $key) { |
407 |
+ foreach($this->language_data['STYLES']['KEYWORDS'] as $_key => $_value) { |
408 |
+ if (!$preserve_defaults) { |
409 |
+ $this->language_data['STYLES']['KEYWORDS'][$_key] = $style; |
410 |
+ } else { |
411 |
+ $this->language_data['STYLES']['KEYWORDS'][$_key] .= $style; |
412 |
+ } |
413 |
+ } |
414 |
} else { |
415 |
- $this->language_data['STYLES']['KEYWORDS'][$key] .= $style; |
416 |
+ if (!$preserve_defaults) { |
417 |
+ $this->language_data['STYLES']['KEYWORDS'][$key] = $style; |
418 |
+ } else { |
419 |
+ $this->language_data['STYLES']['KEYWORDS'][$key] .= $style; |
420 |
+ } |
421 |
} |
422 |
|
423 |
//Update the lexic permissions |
424 |
@@ -1054,10 +1064,20 @@ class GeSHi { |
425 |
* @since 1.0.0 |
426 |
*/ |
427 |
function set_comments_style($key, $style, $preserve_defaults = false) { |
428 |
- if (!$preserve_defaults) { |
429 |
- $this->language_data['STYLES']['COMMENTS'][$key] = $style; |
430 |
+ if('*' == $key) { |
431 |
+ foreach($this->language_data['STYLES']['COMMENTS'] as $_key => $_value) { |
432 |
+ if (!$preserve_defaults) { |
433 |
+ $this->language_data['STYLES']['COMMENTS'][$_key] = $style; |
434 |
+ } else { |
435 |
+ $this->language_data['STYLES']['COMMENTS'][$_key] .= $style; |
436 |
+ } |
437 |
+ } |
438 |
} else { |
439 |
- $this->language_data['STYLES']['COMMENTS'][$key] .= $style; |
440 |
+ if (!$preserve_defaults) { |
441 |
+ $this->language_data['STYLES']['COMMENTS'][$key] = $style; |
442 |
+ } else { |
443 |
+ $this->language_data['STYLES']['COMMENTS'][$key] .= $style; |
444 |
+ } |
445 |
} |
446 |
} |
447 |
|
448 |
@@ -1445,9 +1465,8 @@ class GeSHi { |
449 |
* @since 1.0.5 |
450 |
* @todo Re-think about how this method works (maybe make it private and/or make it |
451 |
* a extension->lang lookup?) |
452 |
- * @todo static? |
453 |
*/ |
454 |
- function get_language_name_from_extension( $extension, $lookup = array() ) { |
455 |
+ static function get_language_name_from_extension( $extension, $lookup = array() ) { |
456 |
$extension = strtolower($extension); |
457 |
|
458 |
if ( !is_array($lookup) || empty($lookup)) { |
459 |
@@ -1557,7 +1576,7 @@ class GeSHi { |
460 |
function load_from_file($file_name, $lookup = array()) { |
461 |
if (is_readable($file_name)) { |
462 |
$this->set_source(file_get_contents($file_name)); |
463 |
- $this->set_language($this->get_language_name_from_extension(substr(strrchr($file_name, '.'), 1), $lookup)); |
464 |
+ $this->set_language(self::get_language_name_from_extension(substr(strrchr($file_name, '.'), 1), $lookup)); |
465 |
} else { |
466 |
$this->error = GESHI_ERROR_FILE_NOT_READABLE; |
467 |
} |
468 |
@@ -2132,7 +2151,7 @@ class GeSHi { |
469 |
} |
470 |
|
471 |
$this->language_data['NUMBERS_RXCACHE'][$key] = |
472 |
- "/(?<!<\|\/)(?<!<\|!REG3XP)(?<!<\|\/NUM!)(?<!\d\/>)($regexp)(?!(?:<DOT>|(?>[^\<]))+>)(?![^<]*>)(?!\|>)(?!\/>)/i"; // |
473 |
+ "/(?<!<\|\/)(?<!<\|!REG3XP)(?<!<\|\/NUM!)(?<!\d\/>)($regexp)(?!(?:<DOT>|(?>[^\<]))+>)(?![^<]*>)(?!\|>)(?!\/>)/i"; |
474 |
} |
475 |
|
476 |
if(!isset($this->language_data['PARSER_CONTROL']['NUMBERS']['PRECHECK_RX'])) { |
477 |
@@ -3573,7 +3592,6 @@ class GeSHi { |
478 |
$symbol_length = strlen($symbol_match); |
479 |
$symbol_offset = $pot_symbols[$s_id][0][1]; |
480 |
unset($pot_symbols[$s_id]); |
481 |
- $symbol_end = $symbol_length + $symbol_offset; |
482 |
$symbol_hl = ""; |
483 |
|
484 |
// if we have multiple styles, we have to handle them properly |
485 |
@@ -3875,9 +3893,6 @@ class GeSHi { |
486 |
// the <pre> will line-break them (and the <li>s already do this for us) |
487 |
$ls = ($this->header_type != GESHI_HEADER_PRE && $this->header_type != GESHI_HEADER_PRE_VALID) ? "\n" : ''; |
488 |
|
489 |
- // Set vars to defaults for following loop |
490 |
- $i = 0; |
491 |
- |
492 |
// Foreach line... |
493 |
for ($i = 0, $n = count($code); $i < $n;) { |
494 |
//Reset the attributes for a new line ... |
495 |
@@ -4386,13 +4401,13 @@ class GeSHi { |
496 |
" * --------------------------------------\n". |
497 |
" * Dynamically generated stylesheet for {$this->language}\n". |
498 |
" * CSS class: {$this->overall_class}, CSS id: {$this->overall_id}\n". |
499 |
- " * GeSHi (C) 2004 - 2007 Nigel McNie, 2007 - 2008 Benny Baumann\n" . |
500 |
+ " * GeSHi (C) 2004 - 2007 Nigel McNie, 2007 - 2014 Benny Baumann\n" . |
501 |
" * (http://qbnz.com/highlighter/ and http://geshi.org/)\n". |
502 |
" * --------------------------------------\n". |
503 |
" */\n"; |
504 |
} else { |
505 |
$stylesheet = "/**\n". |
506 |
- " * GeSHi (C) 2004 - 2007 Nigel McNie, 2007 - 2008 Benny Baumann\n" . |
507 |
+ " * GeSHi (C) 2004 - 2007 Nigel McNie, 2007 - 2014 Benny Baumann\n" . |
508 |
" * (http://qbnz.com/highlighter/ and http://geshi.org/)\n". |
509 |
" */\n"; |
510 |
} |
511 |
@@ -4771,5 +4786,3 @@ if (!function_exists('geshi_highlight')) { |
512 |
return true; |
513 |
} |
514 |
} |
515 |
- |
516 |
-?> |
517 |
\ No newline at end of file |
518 |
|
519 |
diff --git a/plugins/wp-syntax/geshi/geshi/4cs.php b/plugins/wp-syntax/geshi/geshi/4cs.php |
520 |
index 5209c51..8fdf931 100644 |
521 |
--- a/plugins/wp-syntax/geshi/geshi/4cs.php |
522 |
+++ b/plugins/wp-syntax/geshi/geshi/4cs.php |
523 |
@@ -4,7 +4,7 @@ |
524 |
* ------ |
525 |
* Author: Jason Curl (jason.curl@×××××××××××××××××××××××.com) |
526 |
* Copyright: (c) 2009 Jason Curl |
527 |
- * Release Version: 1.0.8.11 |
528 |
+ * Release Version: 1.0.8.12 |
529 |
* Date Started: 2009/09/05 |
530 |
* |
531 |
* 4CS language file for GeSHi. |
532 |
@@ -135,5 +135,3 @@ $language_data = array ( |
533 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
534 |
) |
535 |
); |
536 |
- |
537 |
-?> |
538 |
\ No newline at end of file |
539 |
|
540 |
diff --git a/plugins/wp-syntax/geshi/geshi/6502acme.php b/plugins/wp-syntax/geshi/geshi/6502acme.php |
541 |
index 203e04d..6b37a27 100644 |
542 |
--- a/plugins/wp-syntax/geshi/geshi/6502acme.php |
543 |
+++ b/plugins/wp-syntax/geshi/geshi/6502acme.php |
544 |
@@ -4,7 +4,7 @@ |
545 |
* ------- |
546 |
* Author: Warren Willmey |
547 |
* Copyright: (c) 2010 Warren Willmey. |
548 |
- * Release Version: 1.0.8.11 |
549 |
+ * Release Version: 1.0.8.12 |
550 |
* Date Started: 2010/05/26 |
551 |
* |
552 |
* MOS 6502 (more specifically 6510) ACME Cross Assembler 0.93 by Marco Baye language file for GeSHi. |
553 |
@@ -226,5 +226,3 @@ $language_data = array ( |
554 |
) |
555 |
) |
556 |
); |
557 |
- |
558 |
-?> |
559 |
\ No newline at end of file |
560 |
|
561 |
diff --git a/plugins/wp-syntax/geshi/geshi/6502kickass.php b/plugins/wp-syntax/geshi/geshi/6502kickass.php |
562 |
index 8042826..205cdd1 100644 |
563 |
--- a/plugins/wp-syntax/geshi/geshi/6502kickass.php |
564 |
+++ b/plugins/wp-syntax/geshi/geshi/6502kickass.php |
565 |
@@ -4,7 +4,7 @@ |
566 |
* ------- |
567 |
* Author: Warren Willmey |
568 |
* Copyright: (c) 2010 Warren Willmey. |
569 |
- * Release Version: 1.0.8.11 |
570 |
+ * Release Version: 1.0.8.12 |
571 |
* Date Started: 2010/06/07 |
572 |
* |
573 |
* MOS 6502 (6510) Kick Assembler 3.13 language file for GeSHi. |
574 |
@@ -237,5 +237,3 @@ $language_data = array ( |
575 |
) |
576 |
), |
577 |
); |
578 |
- |
579 |
-?> |
580 |
\ No newline at end of file |
581 |
|
582 |
diff --git a/plugins/wp-syntax/geshi/geshi/6502tasm.php b/plugins/wp-syntax/geshi/geshi/6502tasm.php |
583 |
index 86aa479..4efe25e 100644 |
584 |
--- a/plugins/wp-syntax/geshi/geshi/6502tasm.php |
585 |
+++ b/plugins/wp-syntax/geshi/geshi/6502tasm.php |
586 |
@@ -4,7 +4,7 @@ |
587 |
* ------- |
588 |
* Author: Warren Willmey |
589 |
* Copyright: (c) 2010 Warren Willmey. |
590 |
- * Release Version: 1.0.8.11 |
591 |
+ * Release Version: 1.0.8.12 |
592 |
* Date Started: 2010/06/02 |
593 |
* |
594 |
* MOS 6502 (6510) TASM/64TASS (64TASS being the super set of TASM) language file for GeSHi. |
595 |
@@ -185,5 +185,3 @@ $language_data = array ( |
596 |
) |
597 |
) |
598 |
); |
599 |
- |
600 |
-?> |
601 |
\ No newline at end of file |
602 |
|
603 |
diff --git a/plugins/wp-syntax/geshi/geshi/68000devpac.php b/plugins/wp-syntax/geshi/geshi/68000devpac.php |
604 |
index f46387a..90aea4c 100644 |
605 |
--- a/plugins/wp-syntax/geshi/geshi/68000devpac.php |
606 |
+++ b/plugins/wp-syntax/geshi/geshi/68000devpac.php |
607 |
@@ -4,7 +4,7 @@ |
608 |
* ------- |
609 |
* Author: Warren Willmey |
610 |
* Copyright: (c) 2010 Warren Willmey. |
611 |
- * Release Version: 1.0.8.11 |
612 |
+ * Release Version: 1.0.8.12 |
613 |
* Date Started: 2010/06/09 |
614 |
* |
615 |
* Motorola 68000 - HiSoft Devpac ST 2 Assembler language file for GeSHi. |
616 |
@@ -164,5 +164,3 @@ $language_data = array ( |
617 |
) |
618 |
) |
619 |
); |
620 |
- |
621 |
-?> |
622 |
\ No newline at end of file |
623 |
|
624 |
diff --git a/plugins/wp-syntax/geshi/geshi/abap.php b/plugins/wp-syntax/geshi/geshi/abap.php |
625 |
index 5acd261..faa5efc 100644 |
626 |
--- a/plugins/wp-syntax/geshi/geshi/abap.php |
627 |
+++ b/plugins/wp-syntax/geshi/geshi/abap.php |
628 |
@@ -7,7 +7,7 @@ |
629 |
* - Sandra Rossi (sandra.rossi@×××××.com) |
630 |
* - Jacob Laursen (jlu@×××.dk) |
631 |
* Copyright: (c) 2007 Andres Picazo |
632 |
- * Release Version: 1.0.8.11 |
633 |
+ * Release Version: 1.0.8.12 |
634 |
* Date Started: 2004/06/04 |
635 |
* |
636 |
* ABAP language file for GeSHi. |
637 |
@@ -1405,5 +1405,3 @@ $language_data = array( |
638 |
), |
639 |
'TAB_WIDTH' => 4 |
640 |
); |
641 |
- |
642 |
-?> |
643 |
\ No newline at end of file |
644 |
|
645 |
diff --git a/plugins/wp-syntax/geshi/geshi/actionscript.php b/plugins/wp-syntax/geshi/geshi/actionscript.php |
646 |
index 08e5b49..e8521f2 100644 |
647 |
--- a/plugins/wp-syntax/geshi/geshi/actionscript.php |
648 |
+++ b/plugins/wp-syntax/geshi/geshi/actionscript.php |
649 |
@@ -4,7 +4,7 @@ |
650 |
* ---------------- |
651 |
* Author: Steffen Krause (Steffen.krause@××××.de) |
652 |
* Copyright: (c) 2004 Steffen Krause, Nigel McNie (http://qbnz.com/highlighter) |
653 |
- * Release Version: 1.0.8.11 |
654 |
+ * Release Version: 1.0.8.12 |
655 |
* Date Started: 2004/06/20 |
656 |
* |
657 |
* Actionscript language file for GeSHi. |
658 |
@@ -193,5 +193,3 @@ $language_data = array ( |
659 |
'SCRIPT_DELIMITERS' => array(), |
660 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
661 |
); |
662 |
- |
663 |
-?> |
664 |
\ No newline at end of file |
665 |
|
666 |
diff --git a/plugins/wp-syntax/geshi/geshi/actionscript3.php b/plugins/wp-syntax/geshi/geshi/actionscript3.php |
667 |
index 189d714..f8425f1 100644 |
668 |
--- a/plugins/wp-syntax/geshi/geshi/actionscript3.php |
669 |
+++ b/plugins/wp-syntax/geshi/geshi/actionscript3.php |
670 |
@@ -4,7 +4,7 @@ |
671 |
* ---------------- |
672 |
* Author: Jordi Boggiano (j.boggiano@××××.be) |
673 |
* Copyright: (c) 2007 Jordi Boggiano (http://www.seld.be/), Benny Baumann (http://qbnz.com/highlighter) |
674 |
- * Release Version: 1.0.8.11 |
675 |
+ * Release Version: 1.0.8.12 |
676 |
* Date Started: 2007/11/26 |
677 |
* |
678 |
* ActionScript3 language file for GeSHi. |
679 |
@@ -469,5 +469,3 @@ $language_data = array ( |
680 |
'SCRIPT_DELIMITERS' => array(), |
681 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
682 |
); |
683 |
- |
684 |
-?> |
685 |
\ No newline at end of file |
686 |
|
687 |
diff --git a/plugins/wp-syntax/geshi/geshi/ada.php b/plugins/wp-syntax/geshi/geshi/ada.php |
688 |
index c4ef2c3..3e48fd1 100644 |
689 |
--- a/plugins/wp-syntax/geshi/geshi/ada.php |
690 |
+++ b/plugins/wp-syntax/geshi/geshi/ada.php |
691 |
@@ -4,7 +4,7 @@ |
692 |
* ------- |
693 |
* Author: Tux (tux@××××××.cz) |
694 |
* Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter) |
695 |
- * Release Version: 1.0.8.11 |
696 |
+ * Release Version: 1.0.8.12 |
697 |
* Date Started: 2004/07/29 |
698 |
* |
699 |
* Ada language file for GeSHi. |
700 |
@@ -94,9 +94,6 @@ $language_data = array ( |
701 |
'ESCAPE_CHAR' => array( |
702 |
0 => 'color: #000099; font-weight: bold;' |
703 |
), |
704 |
- 'BRACKETS' => array( |
705 |
- 0 => 'color: #66cc66;' |
706 |
- ), |
707 |
'STRINGS' => array( |
708 |
0 => 'color: #7f007f;' |
709 |
), |
710 |
@@ -131,5 +128,3 @@ $language_data = array ( |
711 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
712 |
) |
713 |
); |
714 |
- |
715 |
-?> |
716 |
\ No newline at end of file |
717 |
|
718 |
diff --git a/plugins/wp-syntax/geshi/geshi/aimms.php b/plugins/wp-syntax/geshi/geshi/aimms.php |
719 |
new file mode 100644 |
720 |
index 0000000..f46bdd0 |
721 |
--- /dev/null |
722 |
+++ b/plugins/wp-syntax/geshi/geshi/aimms.php |
723 |
@@ -0,0 +1,316 @@ |
724 |
+<?php |
725 |
+/************************************************************************************* |
726 |
+ * aimms.php |
727 |
+ * -------- |
728 |
+ * Author: Guido Diepen (guido.diepen@×××××.com) |
729 |
+ * Copyright: (c) 2011 Guido Diepen (http://www.aimms.com) |
730 |
+ * Release Version: 1.0.8.12 |
731 |
+ * Date Started: 2011/05/05 |
732 |
+ * |
733 |
+ * AIMMS language file for GeSHi. |
734 |
+ * |
735 |
+ * CHANGES |
736 |
+ * ------- |
737 |
+ * 2004/07/14 (1.0.0) |
738 |
+ * - First Release |
739 |
+ * |
740 |
+ * TODO (updated 2004/07/14) |
741 |
+ * ------------------------- |
742 |
+ * * Make sure the last few function I may have missed |
743 |
+ * (like eval()) are included for highlighting |
744 |
+ * * Split to several files - php4, php5 etc |
745 |
+ * |
746 |
+ ************************************************************************************* |
747 |
+ * |
748 |
+ * This file is part of GeSHi. |
749 |
+ * |
750 |
+ * GeSHi is free software; you can redistribute it and/or modify |
751 |
+ * it under the terms of the GNU General Public License as published by |
752 |
+ * the Free Software Foundation; either version 2 of the License, or |
753 |
+ * (at your option) any later version. |
754 |
+ * |
755 |
+ * GeSHi is distributed in the hope that it will be useful, |
756 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
757 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
758 |
+ * GNU General Public License for more details. |
759 |
+ * |
760 |
+ * You should have received a copy of the GNU General Public License |
761 |
+ * along with GeSHi; if not, write to the Free Software |
762 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
763 |
+ * |
764 |
+ ************************************************************************************/ |
765 |
+ |
766 |
+$language_data = array( |
767 |
+ 'LANG_NAME' => 'AIMMS3', |
768 |
+ 'COMMENT_SINGLE' => array(1 => '!'), |
769 |
+ 'COMMENT_MULTI' => array('/*' => '*/'), |
770 |
+ 'HARDQUOTE' => array("'", "'"), |
771 |
+ 'HARDESCAPE' => array("'", "\\"), |
772 |
+ 'HARDCHAR' => "\\", |
773 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
774 |
+ 'QUOTEMARKS' => array('"'), |
775 |
+ 'OBJECT_SPLITTERS' => array(), |
776 |
+ 'REGEXPS' => array(), |
777 |
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE, |
778 |
+ 'SCRIPT_DELIMITERS' => array(), |
779 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array(), |
780 |
+ 'ESCAPE_CHAR' => '', |
781 |
+ 'KEYWORDS' => array( |
782 |
+ 1 => array( |
783 |
+ 'if', 'then', 'else', 'endif', 'elseif', 'for', 'do', 'while' , 'endfor' , 'endwhile', 'break', 'switch', 'endswitch', |
784 |
+ 'display', 'return', 'in', 'apply' |
785 |
+ |
786 |
+ ), |
787 |
+ 2 => array( |
788 |
+ 'main model' , 'declaration section', 'procedure', 'endprocedure', 'endmodel', 'endsection' , 'set', 'parameter', |
789 |
+ 'string parameter', 'element parameter', 'quantity' |
790 |
+ ), |
791 |
+ 3 => array( |
792 |
+ 'identifier', 'index', 'index domain', 'body' |
793 |
+ ), |
794 |
+ 4 => array( |
795 |
+ 'ActiveCard','Card','ConvertUnit','DistributionCumulative','DistributionDensity','DistributionDeviation', |
796 |
+ 'DistributionInverseCumulative','DistributionInverseDensity','DistributionKurtosis','DistributionMean', |
797 |
+ 'DistributionSkewness','DistributionVariance','Element','EvaluateUnit','First','FormatString','Last', |
798 |
+ 'Ord','Unit','Val','Aggregate','AttributeToString','CaseCompareIdentifier','CaseCreateDifferenceFile', |
799 |
+ 'CloseDataSource','CreateTimeTable','ConstraintVariables','ConvertReferenceDate','CloneElement', |
800 |
+ 'FindNthString','FindReplaceNthString','FindReplaceStrings','FindString','StringOccurrences', |
801 |
+ 'CurrentToMoment','CurrentToString','CurrentToTimeSlot','DaylightsavingEndDate','DaylightsavingStartDate', |
802 |
+ 'DeclaredSubset','DomainIndex','IndexRange','IsRunningAsViewer','ListingFileCopy','ListingFileDelete', |
803 |
+ 'DirectoryGetFiles','DirectoryGetSubdirectories','DirectSQL','Disaggregate','ElementCast','ElementRange', |
804 |
+ 'EnvironmentGetString','EnvironmentSetString','errh::Adapt','errh::Attribute','errh::Category', |
805 |
+ 'errh::Code','errh::Column','errh::CreationTime','errh::Filename','errh::InsideCategory', |
806 |
+ 'errh::IsMarkedAsHandled','errh::Line','errh::MarkAsHandled','errh::Message','errh::Multiplicity', |
807 |
+ 'errh::Node','errh::NumberOfLocations','errh::Severity','ExcelAddNewSheet','ExcelAssignParameter', |
808 |
+ 'ExcelAssignSet','ExcelAssignTable','ExcelAssignValue','ExcelClearRange','ExcelCloseWorkbook', |
809 |
+ 'ExcelColumnName','ExcelColumnNumber','ExcelCopyRange','ExcelCreateWorkbook','ExcelDeleteSheet', |
810 |
+ 'ExcelPrint','ExcelRetrieveParameter','ExcelRetrieveSet','ExcelRetrieveTable','ExcelRetrieveValue', |
811 |
+ 'ExcelRunMacro','ExcelSaveWorkbook','ExcelSetActiveSheet','ExcelSetUpdateLinksBehavior', |
812 |
+ 'ExcelSetVisibility','FindUsedElements','GenerateCUT','GMP::Coefficient::Get', |
813 |
+ 'GMP::Coefficient::GetQuadratic','GMP::Coefficient::Set','GMP::Coefficient::SetQuadratic', |
814 |
+ 'GMP::Column::Add','GMP::Column::Delete','GMP::Column::Freeze','GMP::Column::GetLowerbound', |
815 |
+ 'GMP::Column::GetScale','GMP::Column::GetStatus','GMP::Column::GetType','GMP::Column::GetUpperbound', |
816 |
+ 'GMP::Column::SetAsObjective','GMP::Column::SetLowerbound','GMP::Column::SetType', |
817 |
+ 'GMP::Column::SetUpperbound','GMP::Column::Unfreeze','GMP::Instance::AddIntegerEliminationRows', |
818 |
+ 'GMP::Instance::CalculateSubGradient','GMP::Instance::Copy','GMP::Instance::CreateDual', |
819 |
+ 'GMP::Instance::CreateMasterMip','GMP::Instance::CreatePresolved', |
820 |
+ 'GMP::SolverSession::CreateProgressCategory','GMP::Instance::CreateProgressCategory', |
821 |
+ 'GMP::Instance::CreateSolverSession','GMP::Stochastic::CreateBendersRootproblem', |
822 |
+ 'GMP::Instance::Delete','GMP::Instance::DeleteIntegerEliminationRows', |
823 |
+ 'GMP::Instance::DeleteSolverSession','GMP::Instance::FindApproximatelyFeasibleSolution', |
824 |
+ 'GMP::Instance::FixColumns','GMP::Instance::Generate','GMP::Instance::GenerateRobustCounterpart', |
825 |
+ 'GMP::Instance::GenerateStochasticProgram','GMP::SolverSession::GetCallbackInterruptStatus', |
826 |
+ 'GMP::SolverSession::WaitForCompletion','GMP::SolverSession::WaitForSingleCompletion', |
827 |
+ 'GMP::SolverSession::ExecutionStatus','GMP::Instance::GetDirection','GMP::Instance::GetLinearObjective', |
828 |
+ 'GMP::Instance::GetMathematicalProgrammingType','GMP::Instance::GetMemoryUsed', |
829 |
+ 'GMP::Instance::GetNumberOfColumns','GMP::Instance::GetNumberOfIndicatorRows', |
830 |
+ 'GMP::Instance::GetNumberOfIntegerColumns','GMP::Instance::GetNumberOfNonlinearColumns', |
831 |
+ 'GMP::Instance::GetNumberOfNonlinearNonzeros','GMP::Instance::GetNumberOfNonlinearRows', |
832 |
+ 'GMP::Instance::GetNumberOfNonzeros','GMP::Instance::GetNumberOfRows', |
833 |
+ 'GMP::Instance::GetNumberOfSOS1Rows','GMP::Instance::GetNumberOfSOS2Rows', |
834 |
+ 'GMP::Instance::GetObjective','GMP::Instance::GetOptionValue','GMP::Instance::GetSolver', |
835 |
+ 'GMP::Instance::GetSymbolicMathematicalProgram','GMP::Instance::MemoryStatistics', |
836 |
+ 'GMP::Instance::Rename','GMP::Instance::SetCallbackAddCut','GMP::Instance::SetCallbackBranch', |
837 |
+ 'GMP::Instance::SetCallbackHeuristic','GMP::Instance::SetCallbackIncumbent', |
838 |
+ 'GMP::Instance::SetCallbackIterations','GMP::Instance::SetCallbackNewIncumbent', |
839 |
+ 'GMP::Instance::SetCallbackStatusChange','GMP::Instance::SetCutoff','GMP::Instance::SetDirection', |
840 |
+ 'GMP::Instance::SetMathematicalProgrammingType','GMP::Instance::SetSolver','GMP::Instance::Solve', |
841 |
+ 'GMP::Stochastic::GetObjectiveBound','GMP::Stochastic::GetRelativeWeight', |
842 |
+ 'GMP::Stochastic::GetRepresentativeScenario','GMP::Stochastic::UpdateBendersSubproblem', |
843 |
+ 'GMP::Linearization::Add','GMP::Linearization::AddSingle','GMP::Linearization::Delete', |
844 |
+ 'GMP::Linearization::GetDeviation','GMP::Linearization::GetDeviationBound', |
845 |
+ 'GMP::Linearization::GetLagrangeMultiplier','GMP::Linearization::GetType', |
846 |
+ 'GMP::Linearization::GetWeight','GMP::Linearization::RemoveDeviation', |
847 |
+ 'GMP::Linearization::SetDeviationBound','GMP::Linearization::SetType', |
848 |
+ 'GMP::Linearization::SetWeight','GMP::ProgressWindow::DeleteCategory', |
849 |
+ 'GMP::ProgressWindow::DisplayLine','GMP::ProgressWindow::DisplayProgramStatus', |
850 |
+ 'GMP::ProgressWindow::DisplaySolver','GMP::ProgressWindow::DisplaySolverStatus', |
851 |
+ 'GMP::ProgressWindow::FreezeLine','GMP::ProgressWindow::UnfreezeLine', |
852 |
+ 'GMP::QuadraticCoefficient::Get','GMP::QuadraticCoefficient::Set','GMP::Row::Activate', |
853 |
+ 'GMP::Stochastic::AddBendersFeasibilityCut','GMP::Stochastic::AddBendersOptimalityCut', |
854 |
+ 'GMP::Stochastic::BendersFindFeasibilityReference','GMP::Stochastic::MergeSolution', |
855 |
+ 'GMP::Row::Add','GMP::Row::Deactivate','GMP::Row::Delete','GMP::Row::DeleteIndicatorCondition', |
856 |
+ 'GMP::Row::Generate','GMP::Row::GetConvex','GMP::Row::GetIndicatorColumn', |
857 |
+ 'GMP::Row::GetIndicatorCondition','GMP::Row::GetLeftHandSide','GMP::Row::GetRelaxationOnly', |
858 |
+ 'GMP::Row::GetRightHandSide','GMP::Row::GetScale','GMP::Row::GetStatus','GMP::Row::GetType', |
859 |
+ 'GMP::Row::SetConvex','GMP::Row::SetIndicatorCondition','GMP::Row::SetLeftHandSide', |
860 |
+ 'GMP::Row::SetRelaxationOnly','GMP::Row::SetRightHandSide','GMP::Row::SetType', |
861 |
+ 'GMP::Solution::Check','GMP::Solution::Copy','GMP::Solution::Count','GMP::Solution::Delete', |
862 |
+ 'GMP::Solution::DeleteAll','GMP::Solution::GetColumnValue','GMP::Solution::GetCPUSecondsUsed', |
863 |
+ 'GMP::Solution::GetDistance','GMP::Solution::GetFirstOrderDerivative', |
864 |
+ 'GMP::Solution::GetIterationsUsed','GMP::Solution::GetNodesUsed','GMP::Solution::GetLinearObjective', |
865 |
+ 'GMP::Solution::GetMemoryUsed','GMP::Solution::GetObjective','GMP::Solution::GetPenalizedObjective', |
866 |
+ 'GMP::Solution::GetProgramStatus','GMP::Solution::GetRowValue','GMP::Solution::GetSolutionsSet', |
867 |
+ 'GMP::Solution::GetSolverStatus','GMP::Solution::IsDualDegenerated','GMP::Solution::IsInteger', |
868 |
+ 'GMP::Solution::IsPrimalDegenerated','GMP::Solution::SetMIPStartFlag','GMP::Solution::Move', |
869 |
+ 'GMP::Solution::RandomlyGenerate','GMP::Solution::RetrieveFromModel', |
870 |
+ 'GMP::Solution::RetrieveFromSolverSession','GMP::Solution::SendToModel', |
871 |
+ 'GMP::Solution::SendToModelSelection','GMP::Solution::SendToSolverSession', |
872 |
+ 'GMP::Solution::SetIterationCount','GMP::Solution::SetProgramStatus','GMP::Solution::SetSolverStatus', |
873 |
+ 'GMP::Solution::UpdatePenaltyWeights','GMP::Solution::ConstructMean', |
874 |
+ 'GMP::SolverSession::AsynchronousExecute','GMP::SolverSession::Execute', |
875 |
+ 'GMP::SolverSession::Interrupt','GMP::SolverSession::AddLinearization', |
876 |
+ 'GMP::SolverSession::GenerateBranchLowerBound','GMP::SolverSession::GenerateBranchUpperBound', |
877 |
+ 'GMP::SolverSession::GenerateBranchRow','GMP::SolverSession::GenerateCut', |
878 |
+ 'GMP::SolverSession::GenerateBinaryEliminationRow','GMP::SolverSession::GetCPUSecondsUsed', |
879 |
+ 'GMP::SolverSession::GetHost','GMP::SolverSession::GetInstance', |
880 |
+ 'GMP::SolverSession::GetIterationsUsed','GMP::SolverSession::GetNodesLeft', |
881 |
+ 'GMP::SolverSession::GetNodesUsed','GMP::SolverSession::GetNodeNumber', |
882 |
+ 'GMP::SolverSession::GetNodeObjective','GMP::SolverSession::GetNumberOfBranchNodes', |
883 |
+ 'GMP::SolverSession::GetLinearObjective','GMP::SolverSession::GetMemoryUsed', |
884 |
+ 'GMP::SolverSession::GetObjective','GMP::SolverSession::GetOptionValue', |
885 |
+ 'GMP::SolverSession::GetProgramStatus','GMP::SolverSession::GetSolver', |
886 |
+ 'GMP::SolverSession::GetSolverStatus','GMP::SolverSession::RejectIncumbent', |
887 |
+ 'GMP::Event::Create','GMP::Event::Delete','GMP::Event::Reset','GMP::Event::Set', |
888 |
+ 'GMP::SolverSession::SetObjective','GMP::SolverSession::SetOptionValue', |
889 |
+ 'GMP::Instance::SetCPUSecondsLimit','GMP::Instance::SetIterationLimit', |
890 |
+ 'GMP::Instance::SetMemoryLimit','GMP::Instance::SetOptionValue','GMP::Tuning::SolveSingleMPS', |
891 |
+ 'GMP::Tuning::TuneMultipleMPS','GMP::Tuning::TuneSingleGMP', |
892 |
+ 'GMP::Solver::GetAsynchronousSessionsLimit','GMP::Robust::EvaluateAdjustableVariables', |
893 |
+ 'GenerateXML','GetDatasourceProperty','ReadGeneratedXML','ReadXML','ReferencedIdentifiers', |
894 |
+ 'WriteXML','IdentifierAttributes','IdentifierDimension','IsRuntimeIdentifier','IdentifierMemory', |
895 |
+ 'IdentifierMemoryStatistics','IdentifierText','IdentifierType','IdentifierUnit','ScalarValue', |
896 |
+ 'SectionIdentifiers','SubRange','MemoryInUse','CommitTransaction','RollbackTransaction', |
897 |
+ 'MemoryStatistics','me::AllowedAttribute','me::ChangeType','me::ChangeTypeAllowed','me::Children', |
898 |
+ 'me::ChildTypeAllowed','me::Compile','me::Create','me::CreateLibrary','me::Delete','me::ExportNode', |
899 |
+ 'me::GetAttribute','me::ImportLibrary','me::ImportNode','me::IsRunnable','me::Move','me::Parent', |
900 |
+ 'me::Rename','me::SetAttribute','MomentToString','MomentToTimeSlot','OptionGetValue', |
901 |
+ 'OptionGetKeywords','OptionGetString','OptionSetString','OptionSetValue','PeriodToString', |
902 |
+ 'ProfilerContinue','ProfilerPause','ProfilerRestart','RestoreInactiveElements', |
903 |
+ 'RetrieveCurrentVariableValues','SetAddRecursive','SetElementAdd','SetElementRename', |
904 |
+ 'SQLColumnData','SQLCreateConnectionString','SQLDriverName','SQLNumberOfColumns', |
905 |
+ 'SQLNumberOfDrivers','SQLNumberOfTables','SQLNumberOfViews','SQLTableName','SQLViewName', |
906 |
+ 'StartTransaction','StringToElement','StringToMoment','StringToTimeSlot','TestDatabaseColumn', |
907 |
+ 'TestDatabaseTable','TestDataSource','TestDate','TimeslotCharacteristic','TimeslotToMoment', |
908 |
+ 'TimeslotToString','TimeZoneOffset','VariableConstraints','PageOpen','PageOpenSingle','PageClose', |
909 |
+ 'PageGetActive','PageSetFocus','PageGetFocus','PageSetCursor','PageRefreshAll','PageGetChild', |
910 |
+ 'PageGetParent','PageGetNext','PageGetPrevious','PageGetNextInTreeWalk','PageGetUsedIdentifiers', |
911 |
+ 'PageGetTitle','PageGetAll','PageCopyTableToClipboard','PageCopyTableToExcel','PrintPage', |
912 |
+ 'PrintPageCount','PrintStartReport','PrintEndReport','PivotTableReloadState','PivotTableSaveState', |
913 |
+ 'PivotTableDeleteState','FileSelect','FileSelectNew','FileDelete','FileExists','FileCopy', |
914 |
+ 'FileMove','FileView','FileEdit','FilePrint','FileTime','FileTouch','FileAppend','FileGetSize', |
915 |
+ 'DirectorySelect','DirectoryCreate','DirectoryDelete','DirectoryExists','DirectoryCopy', |
916 |
+ 'DirectoryMove','DirectoryGetCurrent','DialogProgress','DialogMessage','DialogError', |
917 |
+ 'StatusMessage','DialogAsk','DialogGetString','DialogGetDate','DialogGetNumber','DialogGetElement', |
918 |
+ 'DialogGetElementByText','DialogGetElementByData','DialogGetPassword','DialogGetColor','CaseNew', |
919 |
+ 'CaseFind','CaseCreate','CaseLoadCurrent','CaseMerge','CaseLoadIntoCurrent','CaseSelect', |
920 |
+ 'CaseSelectNew','CaseSetCurrent','CaseSave','CaseSaveAll','CaseSaveAs','CaseSelectMultiple', |
921 |
+ 'CaseGetChangedStatus','CaseSetChangedStatus','CaseDelete','CaseGetType','CaseGetDatasetReference', |
922 |
+ 'CaseWriteToSingleFile','CaseReadFromSingleFile','DatasetNew','DatasetFind','DatasetCreate', |
923 |
+ 'DatasetLoadCurrent','DatasetMerge','DatasetLoadIntoCurrent','DatasetSelect','DatasetSelectNew', |
924 |
+ 'DatasetSetCurrent','DatasetSave','DatasetSaveAll','DatasetSaveAs','DatasetGetChangedStatus', |
925 |
+ 'DatasetSetChangedStatus','DatasetDelete','DatasetGetCategory','DataFileGetName', |
926 |
+ 'DataFileGetAcronym','DataFileSetAcronym','DataFileGetComment','DataFileSetComment', |
927 |
+ 'DataFileGetPath','DataFileGetTime','DataFileGetOwner','DataFileGetGroup','DataFileReadPermitted', |
928 |
+ 'DataFileWritePermitted','DataFileExists','DataFileCopy','DataCategoryContents','CaseTypeContents', |
929 |
+ 'CaseTypeCategories','Execute','OpenDocument','TestInternetConnection','GeoFindCoordinates', |
930 |
+ 'ShowHelpTopic','Delay','ScheduleAt','ExitAimms','SessionArgument','SessionHasVisibleGUI', |
931 |
+ 'ProjectDeveloperMode','DebuggerBreakpoint','ShowProgressWindow','ShowMessageWindow', |
932 |
+ 'SolverGetControl','SolverReleaseControl','ProfilerStart','DataManagerImport','DataManagerExport', |
933 |
+ 'DataManagerFileNew','DataManagerFileOpen','DataManagerFileGetCurrent','DataImport220', |
934 |
+ 'SecurityGetUsers','SecurityGetGroups','UserColorAdd','UserColorDelete','UserColorGetRGB', |
935 |
+ 'UserColorModify','LicenseNumber','LicenseType','LicenseStartDate','LicenseExpirationDate', |
936 |
+ 'LicenseMaintenanceExpirationDate','VARLicenseExpirationDate','AimmsRevisionString', |
937 |
+ 'VARLicenseCreate','HistogramCreate','HistogramDelete','HistogramSetDomain', |
938 |
+ 'HistogramAddObservation','HistogramGetFrequencies','HistogramGetBounds', |
939 |
+ 'HistogramGetObservationCount','HistogramGetAverage','HistogramGetDeviation', |
940 |
+ 'HistogramGetSkewness','HistogramGetKurtosis','DateDifferenceDays','DateDifferenceYearFraction', |
941 |
+ 'PriceFractional','PriceDecimal','RateEffective','RateNominal','DepreciationLinearLife', |
942 |
+ 'DepreciationLinearRate','DepreciationNonLinearSumOfYear','DepreciationNonLinearLife', |
943 |
+ 'DepreciationNonLinearFactor','DepreciationNonLinearRate','DepreciationSum', |
944 |
+ 'InvestmentConstantPresentValue','InvestmentConstantFutureValue', |
945 |
+ 'InvestmentConstantPeriodicPayment','InvestmentConstantInterestPayment', |
946 |
+ 'InvestmentConstantPrincipalPayment','InvestmentConstantCumulativePrincipalPayment', |
947 |
+ 'InvestmentConstantCumulativeInterestPayment','InvestmentConstantNumberPeriods', |
948 |
+ 'InvestmentConstantRateAll','InvestmentConstantRate','InvestmentVariablePresentValue', |
949 |
+ 'InvestmentVariablePresentValueInperiodic','InvestmentSingleFutureValue', |
950 |
+ 'InvestmentVariableInternalRateReturnAll','InvestmentVariableInternalRateReturn', |
951 |
+ 'InvestmentVariableInternalRateReturnInperiodicAll','InvestmentVariableInternalRateReturnInperiodic', |
952 |
+ 'InvestmentVariableInternalRateReturnModified','SecurityDiscountedPrice', |
953 |
+ 'SecurityDiscountedRedemption','SecurityDiscountedYield','SecurityDiscountedRate', |
954 |
+ 'TreasuryBillPrice','TreasuryBillYield','TreasuryBillBondEquivalent','SecurityMaturityPrice', |
955 |
+ 'SecurityMaturityCouponRate','SecurityMaturityYield','SecurityMaturityAccruedInterest', |
956 |
+ 'SecurityCouponNumber','SecurityCouponPreviousDate','SecurityCouponNextDate','SecurityCouponDays', |
957 |
+ 'SecurityCouponDaysPreSettlement','SecurityCouponDaysPostSettlement','SecurityPeriodicPrice', |
958 |
+ 'SecurityPeriodicRedemption','SecurityPeriodicCouponRate','SecurityPeriodicYieldAll', |
959 |
+ 'SecurityPeriodicYield','SecurityPeriodicAccruedInterest','SecurityPeriodicDuration', |
960 |
+ 'SecurityPeriodicDurationModified','Abs','AtomicUnit','Ceil','Character','CharacterNumber','Cube', |
961 |
+ 'Degrees','Div','Exp','FileRead','Floor','Log','Log10','Mapval','Max','Min','Mod','Power', |
962 |
+ 'Radians','Round','Sign','Sqr','Sqrt','StringCapitalize','StringLength','StringToLower', |
963 |
+ 'StringToUnit','StringToUpper','SubString','Trunc','Binomial','NegativeBinomial','Poisson', |
964 |
+ 'Geometric','HyperGeometric','Uniform','Normal','LogNormal','Triangular','Exponential','Weibull', |
965 |
+ 'Beta','Gamma','Logistic','Pareto','ExtremeValue','Precision','Factorial','Combination', |
966 |
+ 'Permutation','Errorf','Cos','Sin','Tan','ArcCos','ArcSin','ArcTan','Cosh','Sinh','Tanh', |
967 |
+ 'ArcCosh','ArcSinh','ArcTanh' |
968 |
+ ) |
969 |
+ ), |
970 |
+ 'SYMBOLS' => array( |
971 |
+ 0 => array( |
972 |
+ '(', ')', '[', ']', '{', '}', |
973 |
+ '%', '&', '|', '/', |
974 |
+ '<', '>', '>=' , '<=', ':=', |
975 |
+ '=', '-', '+', '*', |
976 |
+ '.', ',' |
977 |
+ ) |
978 |
+ ), |
979 |
+ 'CASE_SENSITIVE' => array( |
980 |
+ GESHI_COMMENTS => false, |
981 |
+ 1 => false, |
982 |
+ 2 => false, |
983 |
+ 3 => false, |
984 |
+ 4 => false |
985 |
+ ), |
986 |
+ 'STYLES' => array( |
987 |
+ 'KEYWORDS' => array( |
988 |
+ 1 => 'color: #0000FF;', |
989 |
+ 2 => 'color: #000000; font-weight: bold;', |
990 |
+ 3 => 'color: #404040;', |
991 |
+ 4 => 'color: #990000; font-weight: bold;' |
992 |
+ ), |
993 |
+ 'BRACKETS' => array( |
994 |
+ 0 => 'color: #009900;' |
995 |
+ ), |
996 |
+ 'STRINGS' => array( |
997 |
+ 0 => 'color: #808080; font-style: italic ', |
998 |
+ 'HARD' => 'color: #808080; font-style: italic' |
999 |
+ ), |
1000 |
+ 'NUMBERS' => array( |
1001 |
+ 0 => 'color: #cc66cc;', |
1002 |
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;', |
1003 |
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;', |
1004 |
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;', |
1005 |
+ ), |
1006 |
+ 'COMMENTS' => array( |
1007 |
+ 1 => 'color: #008000; font-style: italic;', |
1008 |
+ 'MULTI' => 'color: #008000; font-style: italic;' |
1009 |
+ ), |
1010 |
+ |
1011 |
+ 'METHODS' => array( |
1012 |
+ 1 => 'color: #004000;', |
1013 |
+ 2 => 'color: #004000;' |
1014 |
+ ), |
1015 |
+ 'SYMBOLS' => array( |
1016 |
+ 0 => 'color: #339933;', |
1017 |
+ 1 => 'color: #000000; font-weight: bold;' |
1018 |
+ ), |
1019 |
+ 'REGEXPS' => array( |
1020 |
+ ), |
1021 |
+ 'SCRIPT' => array( |
1022 |
+ 0 => '', |
1023 |
+ 1 => '', |
1024 |
+ 2 => '', |
1025 |
+ 3 => '', |
1026 |
+ 4 => '', |
1027 |
+ 5 => '' |
1028 |
+ ), |
1029 |
+ 'ESCAPE_CHAR' => array() |
1030 |
+ ), |
1031 |
+ 'URLS' => array( |
1032 |
+ 1 => '', |
1033 |
+ 2 => '', |
1034 |
+ 3 => '', |
1035 |
+ 4 => '' |
1036 |
+ ), |
1037 |
+ 'OOLANG' => false, |
1038 |
+ 'TAB_WIDTH' => 4 |
1039 |
+); |
1040 |
|
1041 |
diff --git a/plugins/wp-syntax/geshi/geshi/algol68.php b/plugins/wp-syntax/geshi/geshi/algol68.php |
1042 |
index 5b1e5aa..2aadb0c 100644 |
1043 |
--- a/plugins/wp-syntax/geshi/geshi/algol68.php |
1044 |
+++ b/plugins/wp-syntax/geshi/geshi/algol68.php |
1045 |
@@ -4,7 +4,7 @@ |
1046 |
* -------- |
1047 |
* Author: Neville Dempsey (NevilleD.sourceforge@×××××.net) |
1048 |
* Copyright: (c) 2010 Neville Dempsey (https://sourceforge.net/projects/algol68/files/) |
1049 |
- * Release Version: 1.0.8.11 |
1050 |
+ * Release Version: 1.0.8.12 |
1051 |
* Date Started: 2010/04/24 |
1052 |
* |
1053 |
* ALGOL 68 language file for GeSHi. |
1054 |
@@ -89,14 +89,14 @@ if(!function_exists('geshi_langfile_algol68_vars')) { |
1055 |
# for some reason ".0 e - 2" is not recognised, but ".0 e + 2" IS! |
1056 |
# work around: remove spaces between sign and digits! Maybe because |
1057 |
# of the Unary '-' Operator |
1058 |
- $sign_="(?:-|\-|[-]|[\-]|\+|)"; # attempts # |
1059 |
+ $sign_="(?:-|\-|[-]|[\-]|\+|)"; # attempts # // FIXME: This should be used or removed. Assignment beneath |
1060 |
|
1061 |
$sign_="(?:-\s*|\+\s*|)"; # n.b. sign is followed by white space # |
1062 |
|
1063 |
$_int=$sign_.$_dec; |
1064 |
$il= $_int; # +_9 # |
1065 |
|
1066 |
- $GESHI_NUMBER_INT_BASIC='(?:(?<![0-9a-z_\.%])|(?<=\.\.))(?<![\d\.]e[+\-])([1-9]\d*?|0)(?![0-9a-z]|\.(?:[eE][+\-]?)?\d)'; |
1067 |
+ //$GESHI_NUMBER_INT_BASIC='(?:(?<![0-9a-z_\.%])|(?<=\.\.))(?<![\d\.]e[+\-])([1-9]\d*?|0)(?![0-9a-z]|\.(?:[eE][+\-]?)?\d)'; |
1068 |
|
1069 |
# Define REAL: # |
1070 |
$prereal=$pre; $postreal=$post; |
1071 |
@@ -326,4 +326,3 @@ $language_data = array( |
1072 |
); |
1073 |
|
1074 |
unset($a68); |
1075 |
-?> |
1076 |
\ No newline at end of file |
1077 |
|
1078 |
diff --git a/plugins/wp-syntax/geshi/geshi/apache.php b/plugins/wp-syntax/geshi/geshi/apache.php |
1079 |
index c944443..799f250 100644 |
1080 |
--- a/plugins/wp-syntax/geshi/geshi/apache.php |
1081 |
+++ b/plugins/wp-syntax/geshi/geshi/apache.php |
1082 |
@@ -4,7 +4,7 @@ |
1083 |
* ---------- |
1084 |
* Author: Tux (tux@××××××.cz) |
1085 |
* Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter) |
1086 |
- * Release Version: 1.0.8.11 |
1087 |
+ * Release Version: 1.0.8.12 |
1088 |
* Date Started: 2004/29/07 |
1089 |
* |
1090 |
* Apache language file for GeSHi. |
1091 |
@@ -479,5 +479,3 @@ $language_data = array ( |
1092 |
) |
1093 |
) |
1094 |
); |
1095 |
- |
1096 |
-?> |
1097 |
\ No newline at end of file |
1098 |
|
1099 |
diff --git a/plugins/wp-syntax/geshi/geshi/applescript.php b/plugins/wp-syntax/geshi/geshi/applescript.php |
1100 |
index 603fa4a..fae9bb8 100644 |
1101 |
--- a/plugins/wp-syntax/geshi/geshi/applescript.php |
1102 |
+++ b/plugins/wp-syntax/geshi/geshi/applescript.php |
1103 |
@@ -4,7 +4,7 @@ |
1104 |
* -------- |
1105 |
* Author: Stephan Klimek (http://www.initware.org) |
1106 |
* Copyright: Stephan Klimek (http://www.initware.org) |
1107 |
- * Release Version: 1.0.8.11 |
1108 |
+ * Release Version: 1.0.8.12 |
1109 |
* Date Started: 2005/07/20 |
1110 |
* |
1111 |
* AppleScript language file for GeSHi. |
1112 |
@@ -153,5 +153,3 @@ $language_data = array ( |
1113 |
) |
1114 |
) |
1115 |
); |
1116 |
- |
1117 |
-?> |
1118 |
\ No newline at end of file |
1119 |
|
1120 |
diff --git a/plugins/wp-syntax/geshi/geshi/apt_sources.php b/plugins/wp-syntax/geshi/geshi/apt_sources.php |
1121 |
index 9f1ed04..f270ea3 100644 |
1122 |
--- a/plugins/wp-syntax/geshi/geshi/apt_sources.php |
1123 |
+++ b/plugins/wp-syntax/geshi/geshi/apt_sources.php |
1124 |
@@ -4,7 +4,7 @@ |
1125 |
* ---------- |
1126 |
* Author: Milian Wolff (mail@×××××××.de) |
1127 |
* Copyright: (c) 2008 Milian Wolff (http://milianw.de) |
1128 |
- * Release Version: 1.0.8.11 |
1129 |
+ * Release Version: 1.0.8.12 |
1130 |
* Date Started: 2008/06/17 |
1131 |
* |
1132 |
* Apt sources.list language file for GeSHi. |
1133 |
@@ -55,7 +55,7 @@ $language_data = array ( |
1134 |
'stable/updates', |
1135 |
//Debian |
1136 |
'buzz', 'rex', 'bo', 'hamm', 'slink', 'potato', 'woody', 'sarge', |
1137 |
- 'etch', 'lenny', 'wheezy', 'sid', |
1138 |
+ 'etch', 'lenny', 'wheezy', 'jessie', 'sid', |
1139 |
//Ubuntu |
1140 |
'warty', 'warty-updates', 'warty-security', 'warty-proposed', 'warty-backports', |
1141 |
'hoary', 'hoary-updates', 'hoary-security', 'hoary-proposed', 'hoary-backports', |
1142 |
@@ -69,13 +69,20 @@ $language_data = array ( |
1143 |
'jaunty', 'jaunty-updates', 'jaunty-security', 'jaunty-proposed', 'jaunty-backports', |
1144 |
'karmic', 'karmic-updates', 'karmic-security', 'karmic-proposed', 'karmic-backports', |
1145 |
'lucid', 'lucid-updates', 'lucid-security', 'lucid-proposed', 'lucid-backports', |
1146 |
- 'maverick', 'maverick-updates', 'maverick-security', 'maverick-proposed', 'maverick-backports' |
1147 |
+ 'maverick', 'maverick-updates', 'maverick-security', 'maverick-proposed', 'maverick-backports', |
1148 |
+ 'natty', 'natty-updates', 'natty-security', 'natty-proposed', 'natty-backports', |
1149 |
+ 'oneiric', 'oneiric-updates', 'oneiric-security', 'oneiric-proposed', 'oneiric-backports', |
1150 |
+ 'precise', 'precise-updates', 'precise-security', 'precise-proposed', 'precise-backports', |
1151 |
+ 'quantal', 'quantal-updates', 'quantal-security', 'quantal-proposed', 'quantal-backports', |
1152 |
+ 'raring', 'raring-updates', 'raring-security', 'raring-proposed', 'raring-backports', |
1153 |
+ 'saucy', 'saucy-updates', 'saucy-security', 'saucy-proposed', 'saucy-backports', |
1154 |
+ 'trusty', 'trusty-updates', 'trusty-security', 'trusty-proposed', 'trusty-backports' |
1155 |
), |
1156 |
3 => array( |
1157 |
'main', 'restricted', 'preview', 'contrib', 'non-free', |
1158 |
'commercial', 'universe', 'multiverse' |
1159 |
) |
1160 |
- ), |
1161 |
+ ), |
1162 |
'REGEXPS' => array( |
1163 |
0 => "(((http|ftp):\/\/|file:\/)[^\s]+)|(cdrom:\[[^\]]*\][^\s]*)", |
1164 |
), |
1165 |
@@ -144,5 +151,3 @@ $language_data = array ( |
1166 |
), |
1167 |
'TAB_WIDTH' => 4 |
1168 |
); |
1169 |
- |
1170 |
-?> |
1171 |
\ No newline at end of file |
1172 |
|
1173 |
diff --git a/plugins/wp-syntax/geshi/geshi/arm.php b/plugins/wp-syntax/geshi/geshi/arm.php |
1174 |
index 8e3c0a3..dd2870c 100644 |
1175 |
--- a/plugins/wp-syntax/geshi/geshi/arm.php |
1176 |
+++ b/plugins/wp-syntax/geshi/geshi/arm.php |
1177 |
@@ -4,7 +4,7 @@ |
1178 |
* ------- |
1179 |
* Author: Marat Dukhan (mdukhan3.at.gatech.dot.edu) |
1180 |
* Copyright: (c) Marat Dukhan (mdukhan3.at.gatech.dot.edu) |
1181 |
- * Release Version: 1.0.8.11 |
1182 |
+ * Release Version: 1.0.8.12 |
1183 |
* Date Started: 2011/10/06 |
1184 |
* |
1185 |
* ARM Assembler language file for GeSHi. |
1186 |
@@ -3314,5 +3314,3 @@ $language_data = array ( |
1187 |
) |
1188 |
) |
1189 |
); |
1190 |
- |
1191 |
-?> |
1192 |
\ No newline at end of file |
1193 |
|
1194 |
diff --git a/plugins/wp-syntax/geshi/geshi/asm.php b/plugins/wp-syntax/geshi/geshi/asm.php |
1195 |
index dd0a7ec..b602830 100644 |
1196 |
--- a/plugins/wp-syntax/geshi/geshi/asm.php |
1197 |
+++ b/plugins/wp-syntax/geshi/geshi/asm.php |
1198 |
@@ -8,7 +8,7 @@ |
1199 |
* 2009-2011 Benny Baumann (http://qbnz.com/highlighter), |
1200 |
* 2011 Dennis Yurichev (dennis@×××××.info), |
1201 |
* 2011 Marat Dukhan (mdukhan3.at.gatech.dot.edu) |
1202 |
- * Release Version: 1.0.8.11 |
1203 |
+ * Release Version: 1.0.8.12 |
1204 |
* Date Started: 2004/07/27 |
1205 |
* |
1206 |
* x86 Assembler language file for GeSHi. |
1207 |
@@ -599,5 +599,3 @@ $language_data = array ( |
1208 |
) |
1209 |
) |
1210 |
); |
1211 |
- |
1212 |
-?> |
1213 |
\ No newline at end of file |
1214 |
|
1215 |
diff --git a/plugins/wp-syntax/geshi/geshi/asp.php b/plugins/wp-syntax/geshi/geshi/asp.php |
1216 |
index 0096a16..c68ba07 100644 |
1217 |
--- a/plugins/wp-syntax/geshi/geshi/asp.php |
1218 |
+++ b/plugins/wp-syntax/geshi/geshi/asp.php |
1219 |
@@ -4,7 +4,7 @@ |
1220 |
* -------- |
1221 |
* Author: Amit Gupta (http://blog.igeek.info/) |
1222 |
* Copyright: (c) 2004 Amit Gupta (http://blog.igeek.info/), Nigel McNie (http://qbnz.com/highlighter) |
1223 |
- * Release Version: 1.0.8.11 |
1224 |
+ * Release Version: 1.0.8.12 |
1225 |
* Date Started: 2004/08/13 |
1226 |
* |
1227 |
* ASP language file for GeSHi. |
1228 |
@@ -160,5 +160,3 @@ $language_data = array ( |
1229 |
3 => true |
1230 |
) |
1231 |
); |
1232 |
- |
1233 |
-?> |
1234 |
\ No newline at end of file |
1235 |
|
1236 |
diff --git a/plugins/wp-syntax/geshi/geshi/asymptote.php b/plugins/wp-syntax/geshi/geshi/asymptote.php |
1237 |
index 8683588..1c5c56a 100644 |
1238 |
--- a/plugins/wp-syntax/geshi/geshi/asymptote.php |
1239 |
+++ b/plugins/wp-syntax/geshi/geshi/asymptote.php |
1240 |
@@ -4,7 +4,7 @@ |
1241 |
* ------------- |
1242 |
* Author: Manuel Yguel (manuel.yguel.robotics@×××××.com) |
1243 |
* Copyright: (c) 2012 Manuel Yguel (http://manuelyguel.eu) |
1244 |
- * Release Version: 1.0.8.11 |
1245 |
+ * Release Version: 1.0.8.12 |
1246 |
* Date Started: 2012/05/24 |
1247 |
* |
1248 |
* asymptote language file for GeSHi. |
1249 |
@@ -190,5 +190,3 @@ $language_data = array( |
1250 |
) |
1251 |
) |
1252 |
); |
1253 |
- |
1254 |
-?> |
1255 |
|
1256 |
diff --git a/plugins/wp-syntax/geshi/geshi/autoconf.php b/plugins/wp-syntax/geshi/geshi/autoconf.php |
1257 |
index 7a0f1ee..ec1cd0a 100644 |
1258 |
--- a/plugins/wp-syntax/geshi/geshi/autoconf.php |
1259 |
+++ b/plugins/wp-syntax/geshi/geshi/autoconf.php |
1260 |
@@ -4,7 +4,7 @@ |
1261 |
* ----- |
1262 |
* Author: Mihai Vasilian (grayasm@×××××.com) |
1263 |
* Copyright: (c) 2010 Mihai Vasilian |
1264 |
- * Release Version: 1.0.8.11 |
1265 |
+ * Release Version: 1.0.8.12 |
1266 |
* Date Started: 2010/01/25 |
1267 |
* |
1268 |
* autoconf language file for GeSHi. |
1269 |
@@ -508,5 +508,3 @@ $language_data = array ( |
1270 |
) |
1271 |
) |
1272 |
); |
1273 |
- |
1274 |
-?> |
1275 |
\ No newline at end of file |
1276 |
|
1277 |
diff --git a/plugins/wp-syntax/geshi/geshi/autohotkey.php b/plugins/wp-syntax/geshi/geshi/autohotkey.php |
1278 |
index 970684d..f6318af 100644 |
1279 |
--- a/plugins/wp-syntax/geshi/geshi/autohotkey.php |
1280 |
+++ b/plugins/wp-syntax/geshi/geshi/autohotkey.php |
1281 |
@@ -4,7 +4,7 @@ |
1282 |
* -------- |
1283 |
* Author: Naveen Garg (naveen.garg@×××××.com) |
1284 |
* Copyright: (c) 2009 Naveen Garg and GeSHi |
1285 |
- * Release Version: 1.0.8.11 |
1286 |
+ * Release Version: 1.0.8.12 |
1287 |
* Date Started: 2009/06/11 |
1288 |
* |
1289 |
* Autohotkey language file for GeSHi. |
1290 |
@@ -369,5 +369,3 @@ $language_data = array ( |
1291 |
) |
1292 |
) |
1293 |
); |
1294 |
- |
1295 |
-?> |
1296 |
\ No newline at end of file |
1297 |
|
1298 |
diff --git a/plugins/wp-syntax/geshi/geshi/autoit.php b/plugins/wp-syntax/geshi/geshi/autoit.php |
1299 |
index ab401b4..e794102 100644 |
1300 |
--- a/plugins/wp-syntax/geshi/geshi/autoit.php |
1301 |
+++ b/plugins/wp-syntax/geshi/geshi/autoit.php |
1302 |
@@ -4,7 +4,7 @@ |
1303 |
* -------- |
1304 |
* Author: big_daddy (robert.i.anthony@×××××.com) |
1305 |
* Copyright: (c) 2006 and to GESHi ;) |
1306 |
- * Release Version: 1.0.8.11 |
1307 |
+ * Release Version: 1.0.8.12 |
1308 |
* Date Started: 2006/01/26 |
1309 |
* |
1310 |
* AutoIT language file for GeSHi. |
1311 |
@@ -1171,5 +1171,3 @@ $language_data = array ( |
1312 |
) |
1313 |
) |
1314 |
); |
1315 |
- |
1316 |
-?> |
1317 |
\ No newline at end of file |
1318 |
|
1319 |
diff --git a/plugins/wp-syntax/geshi/geshi/avisynth.php b/plugins/wp-syntax/geshi/geshi/avisynth.php |
1320 |
index 88f6628..f259b5c 100644 |
1321 |
--- a/plugins/wp-syntax/geshi/geshi/avisynth.php |
1322 |
+++ b/plugins/wp-syntax/geshi/geshi/avisynth.php |
1323 |
@@ -4,7 +4,7 @@ |
1324 |
* -------- |
1325 |
* Author: Ryan Jones (sciguyryan@×××××.com) |
1326 |
* Copyright: (c) 2008 Ryan Jones |
1327 |
- * Release Version: 1.0.8.11 |
1328 |
+ * Release Version: 1.0.8.12 |
1329 |
* Date Started: 2008/10/08 |
1330 |
* |
1331 |
* AviSynth language file for GeSHi. |
1332 |
@@ -191,4 +191,3 @@ $language_data = array ( |
1333 |
), |
1334 |
'TAB_WIDTH' => 4 |
1335 |
); |
1336 |
-?> |
1337 |
|
1338 |
diff --git a/plugins/wp-syntax/geshi/geshi/awk.php b/plugins/wp-syntax/geshi/geshi/awk.php |
1339 |
index 1ec239b..d1bc141 100644 |
1340 |
--- a/plugins/wp-syntax/geshi/geshi/awk.php |
1341 |
+++ b/plugins/wp-syntax/geshi/geshi/awk.php |
1342 |
@@ -4,7 +4,7 @@ |
1343 |
* ------- |
1344 |
* Author: George Pollard (porges@××××.es) |
1345 |
* Copyright: (c) 2009 George Pollard |
1346 |
- * Release Version: 1.0.8.11 |
1347 |
+ * Release Version: 1.0.8.12 |
1348 |
* Date Started: 2009/01/28 |
1349 |
* |
1350 |
* Awk language file for GeSHi. |
1351 |
@@ -154,5 +154,3 @@ $language_data = array ( |
1352 |
'SCRIPT_DELIMITERS' => array (), |
1353 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
1354 |
); |
1355 |
- |
1356 |
-?> |
1357 |
|
1358 |
diff --git a/plugins/wp-syntax/geshi/geshi/bascomavr.php b/plugins/wp-syntax/geshi/geshi/bascomavr.php |
1359 |
index 864f74e..d913135 100644 |
1360 |
--- a/plugins/wp-syntax/geshi/geshi/bascomavr.php |
1361 |
+++ b/plugins/wp-syntax/geshi/geshi/bascomavr.php |
1362 |
@@ -4,7 +4,7 @@ |
1363 |
* --------------------------------- |
1364 |
* Author: aquaticus.info |
1365 |
* Copyright: (c) 2008 aquaticus.info |
1366 |
- * Release Version: 1.0.8.11 |
1367 |
+ * Release Version: 1.0.8.12 |
1368 |
* Date Started: 2008/01/09 |
1369 |
* |
1370 |
* BASCOM AVR language file for GeSHi. |
1371 |
@@ -181,5 +181,3 @@ $language_data = array ( |
1372 |
), |
1373 |
'TAB_WIDTH' => 4 |
1374 |
); |
1375 |
- |
1376 |
-?> |
1377 |
\ No newline at end of file |
1378 |
|
1379 |
diff --git a/plugins/wp-syntax/geshi/geshi/bash.php b/plugins/wp-syntax/geshi/geshi/bash.php |
1380 |
index c69f005..e81b75a 100644 |
1381 |
--- a/plugins/wp-syntax/geshi/geshi/bash.php |
1382 |
+++ b/plugins/wp-syntax/geshi/geshi/bash.php |
1383 |
@@ -4,7 +4,7 @@ |
1384 |
* -------- |
1385 |
* Author: Andreas Gohr (andi@××××××××××.org) |
1386 |
* Copyright: (c) 2004 Andreas Gohr, Nigel McNie (http://qbnz.com/highlighter) |
1387 |
- * Release Version: 1.0.8.11 |
1388 |
+ * Release Version: 1.0.8.12 |
1389 |
* Date Started: 2004/08/20 |
1390 |
* |
1391 |
* BASH language file for GeSHi. |
1392 |
@@ -132,7 +132,16 @@ $language_data = array ( |
1393 |
'apt-src remove', 'apt-src update', 'apt-src upgrade', |
1394 |
'apt-src version', |
1395 |
|
1396 |
- 'basename', 'bash', 'bc', 'bison', 'bunzip2', 'bzcat', |
1397 |
+ 'aptitude autoclean', 'aptitude build-dep', 'aptitude changelog', |
1398 |
+ 'aptitude clean', 'aptitude download', 'aptitude forbid-version', |
1399 |
+ 'aptitude forget-new', 'aptitude full-upgrade', 'aptitude hold', |
1400 |
+ 'aptitude install', 'aptitude markauto', 'aptitude purge', |
1401 |
+ 'aptitude reinstall', 'aptitude remove', 'aptitude safe-upgrade', |
1402 |
+ 'aptitude search', 'aptitude show', 'aptitude unhold', |
1403 |
+ 'aptitude unmarkauto', 'aptitude update', 'aptitude versions', |
1404 |
+ 'aptitude why', 'aptitude why-not', |
1405 |
+ |
1406 |
+ 'basename', 'bash', 'batctl', 'bc', 'bison', 'bunzip2', 'bzcat', |
1407 |
'bzcmp', 'bzdiff', 'bzegrep', 'bzfgrep', 'bzgrep', |
1408 |
'bzip2', 'bzip2recover', 'bzless', 'bzmore', |
1409 |
|
1410 |
@@ -160,7 +169,7 @@ $language_data = array ( |
1411 |
'false', 'fbset', 'fdisk', 'ffmpeg', 'fgconsole','fgrep', 'file', |
1412 |
'find', 'flex', 'flex++', 'fmt', 'free', 'ftp', 'funzip', 'fuser', |
1413 |
|
1414 |
- 'g++', 'gawk', 'gc','gcc', 'gdb', 'getent', 'getkeycodes', |
1415 |
+ 'g++', 'gawk', 'gc','gcc', 'gdb', 'gdisk', 'getent', 'getkeycodes', |
1416 |
'getopt', 'gettext', 'gettextize', 'gimp', 'gimp-remote', |
1417 |
'gimptool', 'gmake', 'gocr', 'grep', 'groups', 'gs', 'gunzip', |
1418 |
'gzexe', 'gzip', |
1419 |
@@ -176,7 +185,7 @@ $language_data = array ( |
1420 |
'git difftool--helper', 'git diff-tree', 'git fast-export', |
1421 |
'git fast-import', 'git fetch', 'git fetch-pack', |
1422 |
'git filter-branch', 'git fmt-merge-msg', 'git for-each-ref', |
1423 |
- 'git format-patch', 'git fsck', 'git fsck-objects', 'git gc', |
1424 |
+ 'git format-patch', 'git fsck', 'git fsck-objects', 'git gc', 'git gui', |
1425 |
'git get-tar-commit-id', 'git grep', 'git hash-object', 'git help', |
1426 |
'git http-backend', 'git http-fetch', 'git http-push', |
1427 |
'git imap-send', 'git index-pack', 'git init', 'git init-db', |
1428 |
@@ -247,14 +256,14 @@ $language_data = array ( |
1429 |
'git-web--browse', 'git-whatchanged', 'gitwhich', 'gitwipe', |
1430 |
'git-write-tree', 'gitxgrep', |
1431 |
|
1432 |
- 'head', 'hexdump', 'hostname', |
1433 |
+ 'head', 'hexdump', 'hostname', 'htop', |
1434 |
|
1435 |
'id', 'ifconfig', 'ifdown', 'ifup', 'igawk', 'install', |
1436 |
|
1437 |
'ip', 'ip addr', 'ip addrlabel', 'ip link', 'ip maddr', 'ip mroute', |
1438 |
'ip neigh', 'ip route', 'ip rule', 'ip tunnel', 'ip xfrm', |
1439 |
|
1440 |
- 'join', |
1441 |
+ 'jar', 'java', 'javac', 'join', |
1442 |
|
1443 |
'kbd_mode','kbdrate', 'kdialog', 'kfile', 'kill', 'killall', |
1444 |
|
1445 |
@@ -271,10 +280,11 @@ $language_data = array ( |
1446 |
|
1447 |
'od', 'openvt', |
1448 |
|
1449 |
- 'passwd', 'patch', 'pcregrep', 'pcretest', 'perl', 'perror', |
1450 |
- 'pgawk', 'pidof', 'ping', 'pr', 'procmail', 'prune', 'ps', 'pstree', |
1451 |
- 'ps2ascii', 'ps2epsi', 'ps2frag', 'ps2pdf', 'ps2ps', 'psbook', |
1452 |
- 'psmerge', 'psnup', 'psresize', 'psselect', 'pstops', |
1453 |
+ 'passwd', 'patch', 'pbzip2', 'pcregrep', 'pcretest', 'perl', |
1454 |
+ 'perror', 'pgawk', 'pidof', 'pigz', 'ping', 'pr', 'procmail', |
1455 |
+ 'prune', 'ps', 'pstree', 'ps2ascii', 'ps2epsi', 'ps2frag', |
1456 |
+ 'ps2pdf', 'ps2ps', 'psbook', 'psmerge', 'psnup', 'psresize', |
1457 |
+ 'psselect', 'pstops', |
1458 |
|
1459 |
'rbash', 'rcs', 'rcs2log', 'read', 'readlink', 'red', 'resizecons', |
1460 |
'rev', 'rm', 'rmdir', 'rsh', 'run-parts', |
1461 |
@@ -283,7 +293,7 @@ $language_data = array ( |
1462 |
'setkeycodes', 'setleds', 'setmetamode', 'setserial', 'setterm', |
1463 |
'sh', 'showkey', 'shred', 'size', 'size86', 'skill', 'sleep', |
1464 |
'slogin', 'snice', 'sort', 'sox', 'split', 'ssed', 'ssh', 'ssh-add', |
1465 |
- 'ssh-agent', 'ssh-keygen', 'ssh-keyscan', 'stat', 'strace', |
1466 |
+ 'ssh-agent', 'ssh-keygen', 'ssh-keyscan', 'sshfs', 'stat', 'strace', |
1467 |
'strings', 'strip', 'stty', 'su', 'sudo', 'suidperl', 'sum', 'svn', |
1468 |
'svnadmin', 'svndumpfilter', 'svnlook', 'svnmerge', 'svnmucc', |
1469 |
'svnserve', 'svnshell', 'svnsync', 'svnversion', 'svnwrap', 'sync', |
1470 |
@@ -291,16 +301,40 @@ $language_data = array ( |
1471 |
'svn add', 'svn ann', 'svn annotate', 'svn blame', 'svn cat', |
1472 |
'svn changelist', 'svn checkout', 'svn ci', 'svn cl', 'svn cleanup', |
1473 |
'svn co', 'svn commit', 'svn copy', 'svn cp', 'svn del', |
1474 |
- 'svn delete', 'svn di', 'svn diff', 'svn export', 'svn h', |
1475 |
- 'svn help', 'svn import', 'svn info', 'svn list', 'svn lock', |
1476 |
- 'svn log', 'svn ls', 'svn merge', 'svn mergeinfo', 'svn mkdir', |
1477 |
- 'svn move', 'svn mv', 'svn pd', 'svn pdel', 'svn pe', 'svn pedit', |
1478 |
+ 'svn delete', 'svn di', 'svn diff', 'svn export', 'svn help', |
1479 |
+ 'svn import', 'svn info', 'svn list', 'svn lock', 'svn log', |
1480 |
+ 'svn ls', 'svn merge', 'svn mergeinfo', 'svn mkdir', 'svn move', |
1481 |
+ 'svn mv', 'svn patch', 'svn pd', 'svn pdel', 'svn pe', 'svn pedit', |
1482 |
'svn pg', 'svn pget', 'svn pl', 'svn plist', 'svn praise', |
1483 |
'svn propdel', 'svn propedit', 'svn propget', 'svn proplist', |
1484 |
- 'svn propset', 'svn ps', 'svn pset', 'svn remove', 'svn ren', |
1485 |
+ 'svn propset', 'svn ps', 'svn pset', 'svn relocate', 'svn remove', |
1486 |
'svn rename', 'svn resolve', 'svn resolved', 'svn revert', 'svn rm', |
1487 |
'svn st', 'svn stat', 'svn status', 'svn sw', 'svn switch', |
1488 |
- 'svn unlock', 'svn up', 'svn update', |
1489 |
+ 'svn unlock', 'svn up', 'svn update', 'svn upgrade', |
1490 |
+ |
1491 |
+ 'svnadmin crashtest', 'svnadmin create', 'svnadmin deltify', |
1492 |
+ 'svnadmin dump', 'svnadmin help', 'svnadmin hotcopy', |
1493 |
+ 'svnadmin list-dblogs', 'svnadmin list-unused-dblogs', |
1494 |
+ 'svnadmin load', 'svnadmin lslocks', 'svnadmin lstxns', |
1495 |
+ 'svnadmin pack', 'svnadmin recover', 'svnadmin rmlocks', |
1496 |
+ 'svnadmin rmtxns', 'svnadmin setlog', 'svnadmin setrevprop', |
1497 |
+ 'svnadmin setuuid', 'svnadmin upgrade', 'svnadmin verify', |
1498 |
+ |
1499 |
+ 'svndumpfilter exclude', 'svndumpfilter help', |
1500 |
+ 'svndumpfilter include', |
1501 |
+ |
1502 |
+ 'svnlook author', 'svnlook cat', 'svnlook changed', 'svnlook date', |
1503 |
+ 'svnlook diff', 'svnlook dirs-changed', 'svnlook filesize', |
1504 |
+ 'svnlook help', 'svnlook history', 'svnlook info', 'svnlook lock', |
1505 |
+ 'svnlook log', 'svnlook pg', 'svnlook pget', 'svnlook pl', |
1506 |
+ 'svnlook plist', 'svnlook propget', 'svnlook proplist', |
1507 |
+ 'svnlook tree', 'svnlook uuid', 'svnlook youngest', |
1508 |
+ |
1509 |
+ 'svnrdump dump', 'svnrdump help', 'svnrdump load', |
1510 |
+ |
1511 |
+ 'svnsync copy-revprops', 'svnsync help', 'svnsync info', |
1512 |
+ 'svnsync init', 'svnsync initialize', 'svnsync sync', |
1513 |
+ 'svnsync synchronize', |
1514 |
|
1515 |
'tac', 'tail', 'tar', 'tee', 'tempfile', 'touch', 'tr', 'tree', |
1516 |
'true', |
1517 |
@@ -436,5 +470,3 @@ $language_data = array ( |
1518 |
) |
1519 |
) |
1520 |
); |
1521 |
- |
1522 |
-?> |
1523 |
\ No newline at end of file |
1524 |
|
1525 |
diff --git a/plugins/wp-syntax/geshi/geshi/basic4gl.php b/plugins/wp-syntax/geshi/geshi/basic4gl.php |
1526 |
index 35c9274..b0574ce 100644 |
1527 |
--- a/plugins/wp-syntax/geshi/geshi/basic4gl.php |
1528 |
+++ b/plugins/wp-syntax/geshi/geshi/basic4gl.php |
1529 |
@@ -4,7 +4,7 @@ |
1530 |
* --------------------------------- |
1531 |
* Author: Matthew Webb (bmatthew1@×××××××××××××.uk) |
1532 |
* Copyright: (c) 2004 Matthew Webb (http://matthew-4gl.wikispaces.com) |
1533 |
- * Release Version: 1.0.8.11 |
1534 |
+ * Release Version: 1.0.8.12 |
1535 |
* Date Started: 2007/09/15 |
1536 |
* |
1537 |
* Basic4GL language file for GeSHi. |
1538 |
@@ -337,5 +337,3 @@ $language_data = array ( |
1539 |
), |
1540 |
'TAB_WIDTH' => 4 |
1541 |
); |
1542 |
- |
1543 |
-?> |
1544 |
|
1545 |
diff --git a/plugins/wp-syntax/geshi/geshi/bf.php b/plugins/wp-syntax/geshi/geshi/bf.php |
1546 |
index c06ca5b..023c059 100644 |
1547 |
--- a/plugins/wp-syntax/geshi/geshi/bf.php |
1548 |
+++ b/plugins/wp-syntax/geshi/geshi/bf.php |
1549 |
@@ -4,7 +4,7 @@ |
1550 |
* ---------- |
1551 |
* Author: Benny Baumann (BenBE@×××××.org) |
1552 |
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/) |
1553 |
- * Release Version: 1.0.8.11 |
1554 |
+ * Release Version: 1.0.8.12 |
1555 |
* Date Started: 2009/10/31 |
1556 |
* |
1557 |
* Brainfuck language file for GeSHi. |
1558 |
@@ -111,5 +111,3 @@ $language_data = array ( |
1559 |
) |
1560 |
) |
1561 |
); |
1562 |
- |
1563 |
-?> |
1564 |
\ No newline at end of file |
1565 |
|
1566 |
diff --git a/plugins/wp-syntax/geshi/geshi/bibtex.php b/plugins/wp-syntax/geshi/geshi/bibtex.php |
1567 |
index 51cb4ce..5afecbf 100644 |
1568 |
--- a/plugins/wp-syntax/geshi/geshi/bibtex.php |
1569 |
+++ b/plugins/wp-syntax/geshi/geshi/bibtex.php |
1570 |
@@ -4,7 +4,7 @@ |
1571 |
* ----- |
1572 |
* Author: Quinn Taylor (quinntaylor@×××.com) |
1573 |
* Copyright: (c) 2009 Quinn Taylor (quinntaylor@×××.com), Nigel McNie (http://qbnz.com/highlighter) |
1574 |
- * Release Version: 1.0.8.11 |
1575 |
+ * Release Version: 1.0.8.12 |
1576 |
* Date Started: 2009/04/29 |
1577 |
* |
1578 |
* BibTeX language file for GeSHi. |
1579 |
@@ -179,5 +179,3 @@ $language_data = array ( |
1580 |
) |
1581 |
) |
1582 |
); |
1583 |
- |
1584 |
-?> |
1585 |
\ No newline at end of file |
1586 |
|
1587 |
diff --git a/plugins/wp-syntax/geshi/geshi/blitzbasic.php b/plugins/wp-syntax/geshi/geshi/blitzbasic.php |
1588 |
index 1d3c08d..8ea6063 100644 |
1589 |
--- a/plugins/wp-syntax/geshi/geshi/blitzbasic.php |
1590 |
+++ b/plugins/wp-syntax/geshi/geshi/blitzbasic.php |
1591 |
@@ -4,7 +4,7 @@ |
1592 |
* -------------- |
1593 |
* Author: P�draig O`Connel (info@×××××××××.info) |
1594 |
* Copyright: (c) 2005 P�draig O`Connel (http://moonsword.info) |
1595 |
- * Release Version: 1.0.8.11 |
1596 |
+ * Release Version: 1.0.8.12 |
1597 |
* Date Started: 16.10.2005 |
1598 |
* |
1599 |
* BlitzBasic language file for GeSHi. |
1600 |
@@ -181,5 +181,3 @@ $language_data = array ( |
1601 |
1 => false |
1602 |
) |
1603 |
); |
1604 |
- |
1605 |
-?> |
1606 |
|
1607 |
diff --git a/plugins/wp-syntax/geshi/geshi/bnf.php b/plugins/wp-syntax/geshi/geshi/bnf.php |
1608 |
index ca15cf9..0fe98d1 100644 |
1609 |
--- a/plugins/wp-syntax/geshi/geshi/bnf.php |
1610 |
+++ b/plugins/wp-syntax/geshi/geshi/bnf.php |
1611 |
@@ -4,7 +4,7 @@ |
1612 |
* -------- |
1613 |
* Author: Rowan Rodrik van der Molen (rowan@××××××××.us) |
1614 |
* Copyright: (c) 2006 Rowan Rodrik van der Molen (http://www.bigsmoke.us/) |
1615 |
- * Release Version: 1.0.8.11 |
1616 |
+ * Release Version: 1.0.8.12 |
1617 |
* Date Started: 2006/09/28 |
1618 |
* |
1619 |
* BNF (Backus-Naur form) language file for GeSHi. |
1620 |
@@ -115,5 +115,3 @@ $language_data = array ( |
1621 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
1622 |
) |
1623 |
); |
1624 |
- |
1625 |
-?> |
1626 |
\ No newline at end of file |
1627 |
|
1628 |
diff --git a/plugins/wp-syntax/geshi/geshi/boo.php b/plugins/wp-syntax/geshi/geshi/boo.php |
1629 |
index b68d442..862881a 100644 |
1630 |
--- a/plugins/wp-syntax/geshi/geshi/boo.php |
1631 |
+++ b/plugins/wp-syntax/geshi/geshi/boo.php |
1632 |
@@ -4,7 +4,7 @@ |
1633 |
* -------- |
1634 |
* Author: Marcus Griep (neoeinstein+GeSHi@×××××.com) |
1635 |
* Copyright: (c) 2007 Marcus Griep (http://www.xpdm.us) |
1636 |
- * Release Version: 1.0.8.11 |
1637 |
+ * Release Version: 1.0.8.12 |
1638 |
* Date Started: 2007/09/10 |
1639 |
* |
1640 |
* Boo language file for GeSHi. |
1641 |
@@ -213,5 +213,3 @@ $language_data = array ( |
1642 |
), |
1643 |
'TAB_WIDTH' => 4 |
1644 |
); |
1645 |
- |
1646 |
-?> |
1647 |
|
1648 |
diff --git a/plugins/wp-syntax/geshi/geshi/c.php b/plugins/wp-syntax/geshi/geshi/c.php |
1649 |
index 35d5b01..9dbe610 100644 |
1650 |
--- a/plugins/wp-syntax/geshi/geshi/c.php |
1651 |
+++ b/plugins/wp-syntax/geshi/geshi/c.php |
1652 |
@@ -7,7 +7,7 @@ |
1653 |
* - Jack Lloyd (lloyd@×××××××××.net) |
1654 |
* - Michael Mol (mikemol@×××××.com) |
1655 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
1656 |
- * Release Version: 1.0.8.11 |
1657 |
+ * Release Version: 1.0.8.12 |
1658 |
* Date Started: 2004/06/04 |
1659 |
* |
1660 |
* C language file for GeSHi. |
1661 |
@@ -277,5 +277,3 @@ $language_data = array ( |
1662 |
), |
1663 |
'TAB_WIDTH' => 4 |
1664 |
); |
1665 |
- |
1666 |
-?> |
1667 |
\ No newline at end of file |
1668 |
|
1669 |
diff --git a/plugins/wp-syntax/geshi/geshi/c_loadrunner.php b/plugins/wp-syntax/geshi/geshi/c_loadrunner.php |
1670 |
index 42b3d77..12c60df 100644 |
1671 |
--- a/plugins/wp-syntax/geshi/geshi/c_loadrunner.php |
1672 |
+++ b/plugins/wp-syntax/geshi/geshi/c_loadrunner.php |
1673 |
@@ -4,7 +4,7 @@ |
1674 |
* --------------------------------- |
1675 |
* Author: Stuart Moncrieff (stuart at myloadtest dot com) |
1676 |
* Copyright: (c) 2010 Stuart Moncrieff (http://www.myloadtest.com/loadrunner-syntax-highlighter/) |
1677 |
- * Release Version: 1.0.8.11 |
1678 |
+ * Release Version: 1.0.8.12 |
1679 |
* Date Started: 2010-07-25 |
1680 |
* |
1681 |
* C (for LoadRunner) language file for GeSHi. |
1682 |
@@ -319,5 +319,3 @@ $language_data = array ( |
1683 |
// Note that if you are using <pre> tags for your code, then the browser chooses how many spaces your tabs will translate to. |
1684 |
'TAB_WIDTH' => 4 |
1685 |
); |
1686 |
- |
1687 |
-?> |
1688 |
\ No newline at end of file |
1689 |
|
1690 |
diff --git a/plugins/wp-syntax/geshi/geshi/c_mac.php b/plugins/wp-syntax/geshi/geshi/c_mac.php |
1691 |
index 41c21ce..94131a5 100644 |
1692 |
--- a/plugins/wp-syntax/geshi/geshi/c_mac.php |
1693 |
+++ b/plugins/wp-syntax/geshi/geshi/c_mac.php |
1694 |
@@ -4,7 +4,7 @@ |
1695 |
* --------- |
1696 |
* Author: M. Uli Kusterer (witness.of.teachtext@×××.net) |
1697 |
* Copyright: (c) 2004 M. Uli Kusterer, Nigel McNie (http://qbnz.com/highlighter/) |
1698 |
- * Release Version: 1.0.8.11 |
1699 |
+ * Release Version: 1.0.8.12 |
1700 |
* Date Started: 2004/06/04 |
1701 |
* |
1702 |
* C for Macs language file for GeSHi. |
1703 |
@@ -223,5 +223,3 @@ $language_data = array ( |
1704 |
), |
1705 |
'TAB_WIDTH' => 4 |
1706 |
); |
1707 |
- |
1708 |
-?> |
1709 |
\ No newline at end of file |
1710 |
|
1711 |
diff --git a/plugins/wp-syntax/geshi/geshi/c_winapi.php b/plugins/wp-syntax/geshi/geshi/c_winapi.php |
1712 |
new file mode 100644 |
1713 |
index 0000000..69cad2a |
1714 |
--- /dev/null |
1715 |
+++ b/plugins/wp-syntax/geshi/geshi/c_winapi.php |
1716 |
@@ -0,0 +1,870 @@ |
1717 |
+<?php |
1718 |
+/************************************************************************************* |
1719 |
+ * c_winapi.php |
1720 |
+ * ----- |
1721 |
+ * Author: Benny Baumann (BenBE@×××××.org) |
1722 |
+ * Contributors: |
1723 |
+ * - Jack Lloyd (lloyd@×××××××××.net) |
1724 |
+ * - Michael Mol (mikemol@×××××.com) |
1725 |
+ * Copyright: (c) 2012 Benny Baumann (http://qbnz.com/highlighter/) |
1726 |
+ * Release Version: 1.0.8.12 |
1727 |
+ * Date Started: 2012/08/12 |
1728 |
+ * |
1729 |
+ * C (WinAPI) language file for GeSHi. |
1730 |
+ * |
1731 |
+ * CHANGES |
1732 |
+ * ------- |
1733 |
+ * 2009/01/22 (1.0.8.3) |
1734 |
+ * - Made keywords case-sensitive. |
1735 |
+ * 2008/05/23 (1.0.7.22) |
1736 |
+ * - Added description of extra language features (SF#1970248) |
1737 |
+ * 2004/XX/XX (1.0.4) |
1738 |
+ * - Added a couple of new keywords (Jack Lloyd) |
1739 |
+ * 2004/11/27 (1.0.3) |
1740 |
+ * - Added support for multiple object splitters |
1741 |
+ * 2004/10/27 (1.0.2) |
1742 |
+ * - Added support for URLs |
1743 |
+ * 2004/08/05 (1.0.1) |
1744 |
+ * - Added support for symbols |
1745 |
+ * 2004/07/14 (1.0.0) |
1746 |
+ * - First Release |
1747 |
+ * |
1748 |
+ * TODO (updated 2009/02/08) |
1749 |
+ * ------------------------- |
1750 |
+ * - Get a list of inbuilt functions to add (and explore C more |
1751 |
+ * to complete this rather bare language file |
1752 |
+ * |
1753 |
+ ************************************************************************************* |
1754 |
+ * |
1755 |
+ * This file is part of GeSHi. |
1756 |
+ * |
1757 |
+ * GeSHi is free software; you can redistribute it and/or modify |
1758 |
+ * it under the terms of the GNU General Public License as published by |
1759 |
+ * the Free Software Foundation; either version 2 of the License, or |
1760 |
+ * (at your option) any later version. |
1761 |
+ * |
1762 |
+ * GeSHi is distributed in the hope that it will be useful, |
1763 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
1764 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
1765 |
+ * GNU General Public License for more details. |
1766 |
+ * |
1767 |
+ * You should have received a copy of the GNU General Public License |
1768 |
+ * along with GeSHi; if not, write to the Free Software |
1769 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
1770 |
+ * |
1771 |
+ ************************************************************************************/ |
1772 |
+ |
1773 |
+$language_data = array ( |
1774 |
+ 'LANG_NAME' => 'C (WinAPI)', |
1775 |
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'), |
1776 |
+ 'COMMENT_MULTI' => array('/*' => '*/'), |
1777 |
+ 'COMMENT_REGEXP' => array( |
1778 |
+ //Multiline-continued single-line comments |
1779 |
+ 1 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m', |
1780 |
+ //Multiline-continued preprocessor define |
1781 |
+ 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m' |
1782 |
+ ), |
1783 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
1784 |
+ 'QUOTEMARKS' => array("'", '"'), |
1785 |
+ 'ESCAPE_CHAR' => '', |
1786 |
+ 'ESCAPE_REGEXP' => array( |
1787 |
+ //Simple Single Char Escapes |
1788 |
+ 1 => "#\\\\[\\\\abfnrtv\'\"?\n]#i", |
1789 |
+ //Hexadecimal Char Specs |
1790 |
+ 2 => "#\\\\x[\da-fA-F]{2}#", |
1791 |
+ //Hexadecimal Char Specs |
1792 |
+ 3 => "#\\\\u[\da-fA-F]{4}#", |
1793 |
+ //Hexadecimal Char Specs |
1794 |
+ 4 => "#\\\\U[\da-fA-F]{8}#", |
1795 |
+ //Octal Char Specs |
1796 |
+ 5 => "#\\\\[0-7]{1,3}#" |
1797 |
+ ), |
1798 |
+ 'NUMBERS' => |
1799 |
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_INT_CSTYLE | GESHI_NUMBER_BIN_PREFIX_0B | |
1800 |
+ GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX | GESHI_NUMBER_FLT_NONSCI | |
1801 |
+ GESHI_NUMBER_FLT_NONSCI_F | GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO, |
1802 |
+ 'KEYWORDS' => array( |
1803 |
+ 1 => array( |
1804 |
+ 'if', 'return', 'while', 'case', 'continue', 'default', |
1805 |
+ 'do', 'else', 'for', 'switch', 'goto' |
1806 |
+ ), |
1807 |
+ 2 => array( |
1808 |
+ 'null', 'false', 'break', 'true', 'function', 'enum', 'extern', 'inline' |
1809 |
+ ), |
1810 |
+ 3 => array( |
1811 |
+ // assert.h |
1812 |
+ 'assert', |
1813 |
+ |
1814 |
+ //complex.h |
1815 |
+ 'cabs', 'cacos', 'cacosh', 'carg', 'casin', 'casinh', 'catan', |
1816 |
+ 'catanh', 'ccos', 'ccosh', 'cexp', 'cimag', 'cis', 'clog', 'conj', |
1817 |
+ 'cpow', 'cproj', 'creal', 'csin', 'csinh', 'csqrt', 'ctan', 'ctanh', |
1818 |
+ |
1819 |
+ //ctype.h |
1820 |
+ 'digittoint', 'isalnum', 'isalpha', 'isascii', 'isblank', 'iscntrl', |
1821 |
+ 'isdigit', 'isgraph', 'islower', 'isprint', 'ispunct', 'isspace', |
1822 |
+ 'isupper', 'isxdigit', 'toascii', 'tolower', 'toupper', |
1823 |
+ |
1824 |
+ //inttypes.h |
1825 |
+ 'imaxabs', 'imaxdiv', 'strtoimax', 'strtoumax', 'wcstoimax', |
1826 |
+ 'wcstoumax', |
1827 |
+ |
1828 |
+ //locale.h |
1829 |
+ 'localeconv', 'setlocale', |
1830 |
+ |
1831 |
+ //math.h |
1832 |
+ 'acos', 'asin', 'atan', 'atan2', 'ceil', 'cos', 'cosh', 'exp', |
1833 |
+ 'fabs', 'floor', 'frexp', 'ldexp', 'log', 'log10', 'modf', 'pow', |
1834 |
+ 'sin', 'sinh', 'sqrt', 'tan', 'tanh', |
1835 |
+ |
1836 |
+ //setjmp.h |
1837 |
+ 'longjmp', 'setjmp', |
1838 |
+ |
1839 |
+ //signal.h |
1840 |
+ 'raise', |
1841 |
+ |
1842 |
+ //stdarg.h |
1843 |
+ 'va_arg', 'va_copy', 'va_end', 'va_start', |
1844 |
+ |
1845 |
+ //stddef.h |
1846 |
+ 'offsetof', |
1847 |
+ |
1848 |
+ //stdio.h |
1849 |
+ 'clearerr', 'fclose', 'fdopen', 'feof', 'ferror', 'fflush', 'fgetc', |
1850 |
+ 'fgetpos', 'fgets', 'fopen', 'fprintf', 'fputc', 'fputchar', |
1851 |
+ 'fputs', 'fread', 'freopen', 'fscanf', 'fseek', 'fsetpos', 'ftell', |
1852 |
+ 'fwrite', 'getc', 'getch', 'getchar', 'gets', 'perror', 'printf', |
1853 |
+ 'putc', 'putchar', 'puts', 'remove', 'rename', 'rewind', 'scanf', |
1854 |
+ 'setbuf', 'setvbuf', 'snprintf', 'sprintf', 'sscanf', 'tmpfile', |
1855 |
+ 'tmpnam', 'ungetc', 'vfprintf', 'vfscanf', 'vprintf', 'vscanf', |
1856 |
+ 'vsprintf', 'vsscanf', |
1857 |
+ |
1858 |
+ //stdlib.h |
1859 |
+ 'abort', 'abs', 'atexit', 'atof', 'atoi', 'atol', 'bsearch', |
1860 |
+ 'calloc', 'div', 'exit', 'free', 'getenv', 'itoa', 'labs', 'ldiv', |
1861 |
+ 'ltoa', 'malloc', 'qsort', 'rand', 'realloc', 'srand', 'strtod', |
1862 |
+ 'strtol', 'strtoul', 'system', |
1863 |
+ |
1864 |
+ //string.h |
1865 |
+ 'memchr', 'memcmp', 'memcpy', 'memmove', 'memset', 'strcat', |
1866 |
+ 'strchr', 'strcmp', 'strcoll', 'strcpy', 'strcspn', 'strerror', |
1867 |
+ 'strlen', 'strncat', 'strncmp', 'strncpy', 'strpbrk', 'strrchr', |
1868 |
+ 'strspn', 'strstr', 'strtok', 'strxfrm', |
1869 |
+ |
1870 |
+ //time.h |
1871 |
+ 'asctime', 'clock', 'ctime', 'difftime', 'gmtime', 'localtime', |
1872 |
+ 'mktime', 'strftime', 'time', |
1873 |
+ |
1874 |
+ //wchar.h |
1875 |
+ 'btowc', 'fgetwc', 'fgetws', 'fputwc', 'fputws', 'fwide', |
1876 |
+ 'fwprintf', 'fwscanf', 'getwc', 'getwchar', 'mbrlen', 'mbrtowc', |
1877 |
+ 'mbsinit', 'mbsrtowcs', 'putwc', 'putwchar', 'swprintf', 'swscanf', |
1878 |
+ 'ungetwc', 'vfwprintf', 'vswprintf', 'vwprintf', 'wcrtomb', |
1879 |
+ 'wcscat', 'wcschr', 'wcscmp', 'wcscoll', 'wcscpy', 'wcscspn', |
1880 |
+ 'wcsftime', 'wcslen', 'wcsncat', 'wcsncmp', 'wcsncpy', 'wcspbrk', |
1881 |
+ 'wcsrchr', 'wcsrtombs', 'wcsspn', 'wcsstr', 'wcstod', 'wcstok', |
1882 |
+ 'wcstol', 'wcstoul', 'wcsxfrm', 'wctob', 'wmemchr', 'wmemcmp', |
1883 |
+ 'wmemcpy', 'wmemmove', 'wmemset', 'wprintf', 'wscanf', |
1884 |
+ |
1885 |
+ //wctype.h |
1886 |
+ 'iswalnum', 'iswalpha', 'iswcntrl', 'iswctype', 'iswdigit', |
1887 |
+ 'iswgraph', 'iswlower', 'iswprint', 'iswpunct', 'iswspace', |
1888 |
+ 'iswupper', 'iswxdigit', 'towctrans', 'towlower', 'towupper', |
1889 |
+ 'wctrans', 'wctype' |
1890 |
+ ), |
1891 |
+ 4 => array( |
1892 |
+ 'auto', 'char', 'const', 'double', 'float', 'int', 'long', |
1893 |
+ 'register', 'short', 'signed', 'sizeof', 'static', 'struct', |
1894 |
+ 'typedef', 'union', 'unsigned', 'void', 'volatile', 'wchar_t', |
1895 |
+ |
1896 |
+ 'int8', 'int16', 'int32', 'int64', |
1897 |
+ 'uint8', 'uint16', 'uint32', 'uint64', |
1898 |
+ |
1899 |
+ 'int_fast8_t', 'int_fast16_t', 'int_fast32_t', 'int_fast64_t', |
1900 |
+ 'uint_fast8_t', 'uint_fast16_t', 'uint_fast32_t', 'uint_fast64_t', |
1901 |
+ |
1902 |
+ 'int_least8_t', 'int_least16_t', 'int_least32_t', 'int_least64_t', |
1903 |
+ 'uint_least8_t', 'uint_least16_t', 'uint_least32_t', 'uint_least64_t', |
1904 |
+ |
1905 |
+ 'int8_t', 'int16_t', 'int32_t', 'int64_t', |
1906 |
+ 'uint8_t', 'uint16_t', 'uint32_t', 'uint64_t', |
1907 |
+ |
1908 |
+ 'intmax_t', 'uintmax_t', 'intptr_t', 'uintptr_t', |
1909 |
+ 'size_t', 'off_t' |
1910 |
+ ), |
1911 |
+ // Public API |
1912 |
+ 5 => array( |
1913 |
+ 'AssignProcessToJobObject', 'CommandLineToArgvW', 'ConvertThreadToFiber', |
1914 |
+ 'CreateFiber', 'CreateJobObjectA', 'CreateJobObjectW', 'CreateProcessA', |
1915 |
+ 'CreateProcessAsUserA', 'CreateProcessAsUserW', 'CreateProcessW', |
1916 |
+ 'CreateRemoteThread', 'CreateThread', 'DeleteFiber', 'ExitProcess', |
1917 |
+ 'ExitThread', 'FreeEnvironmentStringsA', 'FreeEnvironmentStringsW', |
1918 |
+ 'GetCommandLineA', 'GetCommandLineW', 'GetCurrentProcess', |
1919 |
+ 'GetCurrentProcessId', 'GetCurrentThread', 'GetCurrentThreadId', |
1920 |
+ 'GetEnvironmentStringsA', 'GetEnvironmentStringsW', |
1921 |
+ 'GetEnvironmentVariableA', 'GetEnvironmentVariableW', 'GetExitCodeProcess', |
1922 |
+ 'GetExitCodeThread', 'GetGuiResources', 'GetPriorityClass', |
1923 |
+ 'GetProcessAffinityMask', 'GetProcessPriorityBoost', |
1924 |
+ 'GetProcessShutdownParameters', 'GetProcessTimes', 'GetProcessVersion', |
1925 |
+ 'GetProcessWorkingSetSize', 'GetStartupInfoA', 'GetStartupInfoW', |
1926 |
+ 'GetThreadPriority', 'GetThreadPriorityBoost', 'GetThreadTimes', |
1927 |
+ 'OpenJobObjectA', 'OpenJobObjectW', 'OpenProcess', |
1928 |
+ 'QueryInformationJobObject', 'ResumeThread', 'SetEnvironmentVariableA', |
1929 |
+ 'SetEnvironmentVariableW', 'SetInformationJobObject', 'SetPriorityClass', |
1930 |
+ 'SetProcessAffinityMask', 'SetProcessPriorityBoost', |
1931 |
+ 'SetProcessShutdownParameters', 'SetProcessWorkingSetSize', |
1932 |
+ 'SetThreadAffinityMask', 'SetThreadIdealProcessor', 'SetThreadPriority', |
1933 |
+ 'SetThreadPriorityBoost', 'Sleep', 'SleepEx', 'SuspendThread', |
1934 |
+ 'SwitchToFiber', 'SwitchToThread', 'TerminateJobObject', 'TerminateProcess', |
1935 |
+ 'TerminateThread', 'WaitForInputIdle', 'WinExec', |
1936 |
+ |
1937 |
+ '_hread', '_hwrite', '_lclose', '_lcreat', '_llseek', '_lopen', '_lread', |
1938 |
+ '_lwrite', 'AreFileApisANSI', 'CancelIo', 'CopyFileA', 'CopyFileW', |
1939 |
+ 'CreateDirectoryA', 'CreateDirectoryExA', 'CreateDirectoryExW', |
1940 |
+ 'CreateDirectoryW', 'CreateFileA', 'CreateFileW', 'DeleteFileA', |
1941 |
+ 'DeleteFileW', 'FindClose', 'FindCloseChangeNotification', |
1942 |
+ 'FindFirstChangeNotificationA', 'FindFirstChangeNotificationW', |
1943 |
+ 'FindFirstFileA', 'FindFirstFileW', 'FindNextFileA', 'FindNextFileW', |
1944 |
+ 'FlushFileBuffers', 'GetCurrentDirectoryA', 'GetCurrentDirectoryW', |
1945 |
+ 'GetDiskFreeSpaceA', 'GetDiskFreeSpaceExA', 'GetDiskFreeSpaceExW', |
1946 |
+ 'GetDiskFreeSpaceW', 'GetDriveTypeA', 'GetDriveTypeW', 'GetFileAttributesA', |
1947 |
+ 'GetFileAttributesExA', 'GetFileAttributesExW', 'GetFileAttributesW', |
1948 |
+ 'GetFileInformationByHandle', 'GetFileSize', 'GetFileType', |
1949 |
+ 'GetFullPathNameA', 'GetFullPathNameW', 'GetLogicalDrives', |
1950 |
+ 'GetLogicalDriveStringsA', 'GetLogicalDriveStringsW', 'GetLongPathNameA', |
1951 |
+ 'GetLongPathNameW', 'GetShortPathNameA', 'GetShortPathNameW', |
1952 |
+ 'GetTempFileNameA', 'GetTempFileNameW', 'GetTempPathA', 'GetTempPathW', |
1953 |
+ 'LockFile', 'MoveFileA', 'MoveFileW', 'MulDiv', 'OpenFile', |
1954 |
+ 'QueryDosDeviceA', 'QueryDosDeviceW', 'ReadFile', 'ReadFileEx', |
1955 |
+ 'RemoveDirectoryA', 'RemoveDirectoryW', 'SearchPathA', 'SearchPathW', |
1956 |
+ 'SetCurrentDirectoryA', 'SetCurrentDirectoryW', 'SetEndOfFile', |
1957 |
+ 'SetFileApisToANSI', 'SetFileApisToOEM', 'SetFileAttributesA', |
1958 |
+ 'SetFileAttributesW', 'SetFilePointer', 'SetHandleCount', |
1959 |
+ 'SetVolumeLabelA', 'SetVolumeLabelW', 'UnlockFile', 'WriteFile', |
1960 |
+ 'WriteFileEx', |
1961 |
+ |
1962 |
+ 'DeviceIoControl', |
1963 |
+ |
1964 |
+ 'GetModuleFileNameA', 'GetModuleFileNameW', 'GetProcAddress', |
1965 |
+ 'LoadLibraryA', 'LoadLibraryExA', 'LoadLibraryExW', 'LoadLibraryW', |
1966 |
+ 'LoadModule', |
1967 |
+ |
1968 |
+ 'GetPrivateProfileIntA', 'GetPrivateProfileIntW', |
1969 |
+ 'GetPrivateProfileSectionA', 'GetPrivateProfileSectionNamesA', |
1970 |
+ 'GetPrivateProfileSectionNamesW', 'GetPrivateProfileSectionW', |
1971 |
+ 'GetPrivateProfileStringA', 'GetPrivateProfileStringW', |
1972 |
+ 'GetPrivateProfileStructA', 'GetPrivateProfileStructW', |
1973 |
+ 'GetProfileIntA', 'GetProfileIntW', 'GetProfileSectionA', |
1974 |
+ 'GetProfileSectionW', 'GetProfileStringA', 'GetProfileStringW', |
1975 |
+ 'RegCloseKey', 'RegConnectRegistryA', 'RegConnectRegistryW', |
1976 |
+ 'RegCreateKeyA', 'RegCreateKeyExA', 'RegCreateKeyExW', |
1977 |
+ 'RegCreateKeyW', 'RegDeleteKeyA', 'RegDeleteKeyW', 'RegDeleteValueA', |
1978 |
+ 'RegDeleteValueW', 'RegEnumKeyA', 'RegEnumKeyExA', 'RegEnumKeyExW', |
1979 |
+ 'RegEnumKeyW', 'RegEnumValueA', 'RegEnumValueW', 'RegFlushKey', |
1980 |
+ 'RegGetKeySecurity', 'RegLoadKeyA', 'RegLoadKeyW', |
1981 |
+ 'RegNotifyChangeKeyValue', 'RegOpenKeyA', 'RegOpenKeyExA', 'RegOpenKeyExW', |
1982 |
+ 'RegOpenKeyW', 'RegOverridePredefKey', 'RegQueryInfoKeyA', |
1983 |
+ 'RegQueryInfoKeyW', 'RegQueryMultipleValuesA', 'RegQueryMultipleValuesW', |
1984 |
+ 'RegQueryValueA', 'RegQueryValueExA', 'RegQueryValueExW', 'RegQueryValueW', |
1985 |
+ 'RegReplaceKeyA', 'RegReplaceKeyW', 'RegRestoreKeyA', 'RegRestoreKeyW', |
1986 |
+ 'RegSaveKeyA', 'RegSaveKeyW', 'RegSetKeySecurity', 'RegSetValueA', |
1987 |
+ 'RegSetValueExA', 'RegSetValueExW', 'RegSetValueW', 'RegUnLoadKeyA', |
1988 |
+ 'RegUnLoadKeyW', 'WritePrivateProfileSectionA', 'WritePrivateProfileSectionW', |
1989 |
+ 'WritePrivateProfileStringA', 'WritePrivateProfileStringW', |
1990 |
+ 'WritePrivateProfileStructA', 'WritePrivateProfileStructW', |
1991 |
+ 'WriteProfileSectionA', 'WriteProfileSectionW', 'WriteProfileStringA', |
1992 |
+ 'WriteProfileStringW', |
1993 |
+ |
1994 |
+ 'AccessCheck', 'AccessCheckAndAuditAlarmA', 'AccessCheckAndAuditAlarmW', |
1995 |
+ 'AccessCheckByType', 'AccessCheckByTypeAndAuditAlarmA', |
1996 |
+ 'AccessCheckByTypeAndAuditAlarmW', 'AccessCheckByTypeResultList', |
1997 |
+ 'AccessCheckByTypeResultListAndAuditAlarmA', 'AccessCheckByTypeResultListAndAuditAlarmW', |
1998 |
+ 'AddAccessAllowedAce', 'AddAccessAllowedAceEx', 'AddAccessAllowedObjectAce', |
1999 |
+ 'AddAccessDeniedAce', 'AddAccessDeniedAceEx', 'AddAccessDeniedObjectAce', |
2000 |
+ 'AddAce', 'AddAuditAccessAce', 'AddAuditAccessAceEx', 'AddAuditAccessObjectAce', |
2001 |
+ 'AdjustTokenGroups', 'AdjustTokenPrivileges', 'AllocateAndInitializeSid', |
2002 |
+ 'AllocateLocallyUniqueId', 'AreAllAccessesGranted', 'AreAnyAccessesGranted', |
2003 |
+ 'BuildExplicitAccessWithNameA', 'BuildExplicitAccessWithNameW', |
2004 |
+ 'BuildImpersonateExplicitAccessWithNameA', 'BuildImpersonateExplicitAccessWithNameW', |
2005 |
+ 'BuildImpersonateTrusteeA', 'BuildImpersonateTrusteeW', 'BuildSecurityDescriptorA', |
2006 |
+ 'BuildSecurityDescriptorW', 'BuildTrusteeWithNameA', 'BuildTrusteeWithNameW', |
2007 |
+ 'BuildTrusteeWithSidA', 'BuildTrusteeWithSidW', |
2008 |
+ 'ConvertToAutoInheritPrivateObjectSecurity', 'CopySid', 'CreatePrivateObjectSecurity', |
2009 |
+ 'CreatePrivateObjectSecurityEx', 'CreateRestrictedToken', 'DeleteAce', |
2010 |
+ 'DestroyPrivateObjectSecurity', 'DuplicateToken', 'DuplicateTokenEx', |
2011 |
+ 'EqualPrefixSid', 'EqualSid', 'FindFirstFreeAce', 'FreeSid', 'GetAce', |
2012 |
+ 'GetAclInformation', 'GetAuditedPermissionsFromAclA', 'GetAuditedPermissionsFromAclW', |
2013 |
+ 'GetEffectiveRightsFromAclA', 'GetEffectiveRightsFromAclW', |
2014 |
+ 'GetExplicitEntriesFromAclA', 'GetExplicitEntriesFromAclW', 'GetFileSecurityA', |
2015 |
+ 'GetFileSecurityW', 'GetKernelObjectSecurity', 'GetLengthSid', 'GetMultipleTrusteeA', |
2016 |
+ 'GetMultipleTrusteeOperationA', 'GetMultipleTrusteeOperationW', 'GetMultipleTrusteeW', |
2017 |
+ 'GetNamedSecurityInfoA', 'GetNamedSecurityInfoW', 'GetPrivateObjectSecurity', |
2018 |
+ 'GetSecurityDescriptorControl', 'GetSecurityDescriptorDacl', |
2019 |
+ 'GetSecurityDescriptorGroup', 'GetSecurityDescriptorLength', |
2020 |
+ 'GetSecurityDescriptorOwner', 'GetSecurityDescriptorSacl', 'GetSecurityInfo', |
2021 |
+ 'GetSidIdentifierAuthority', 'GetSidLengthRequired', 'GetSidSubAuthority', |
2022 |
+ 'GetSidSubAuthorityCount', 'GetTokenInformation', 'GetTrusteeFormA', |
2023 |
+ 'GetTrusteeFormW', 'GetTrusteeNameA', 'GetTrusteeNameW', 'GetTrusteeTypeA', |
2024 |
+ 'GetTrusteeTypeW', 'GetUserObjectSecurity', 'ImpersonateLoggedOnUser', |
2025 |
+ 'ImpersonateNamedPipeClient', 'ImpersonateSelf', 'InitializeAcl', |
2026 |
+ 'InitializeSecurityDescriptor', 'InitializeSid', 'IsTokenRestricted', 'IsValidAcl', |
2027 |
+ 'IsValidSecurityDescriptor', 'IsValidSid', 'LogonUserA', 'LogonUserW', |
2028 |
+ 'LookupAccountNameA', 'LookupAccountNameW', 'LookupAccountSidA', 'LookupAccountSidW', |
2029 |
+ 'LookupPrivilegeDisplayNameA', 'LookupPrivilegeDisplayNameW', 'LookupPrivilegeNameA', |
2030 |
+ 'LookupPrivilegeNameW', 'LookupPrivilegeValueA', 'LookupPrivilegeValueW', |
2031 |
+ 'LookupSecurityDescriptorPartsA', 'LookupSecurityDescriptorPartsW', 'MakeAbsoluteSD', |
2032 |
+ 'MakeSelfRelativeSD', 'MapGenericMask', 'ObjectCloseAuditAlarmA', |
2033 |
+ 'ObjectCloseAuditAlarmW', 'ObjectDeleteAuditAlarmA', 'ObjectDeleteAuditAlarmW', |
2034 |
+ 'ObjectOpenAuditAlarmA', 'ObjectOpenAuditAlarmW', 'ObjectPrivilegeAuditAlarmA', |
2035 |
+ 'ObjectPrivilegeAuditAlarmW', 'OpenProcessToken', 'OpenThreadToken', 'PrivilegeCheck', |
2036 |
+ 'PrivilegedServiceAuditAlarmA', 'PrivilegedServiceAuditAlarmW', 'RevertToSelf', |
2037 |
+ 'SetAclInformation', 'SetEntriesInAclA', 'SetEntriesInAclW', 'SetFileSecurityA', |
2038 |
+ 'SetFileSecurityW', 'SetKernelObjectSecurity', 'SetNamedSecurityInfoA', |
2039 |
+ 'SetNamedSecurityInfoW', 'SetPrivateObjectSecurity', 'SetPrivateObjectSecurityEx', |
2040 |
+ 'SetSecurityDescriptorControl', 'SetSecurityDescriptorDacl', |
2041 |
+ 'SetSecurityDescriptorGroup', 'SetSecurityDescriptorOwner', |
2042 |
+ 'SetSecurityDescriptorSacl', 'SetSecurityInfo', 'SetThreadToken', |
2043 |
+ 'SetTokenInformation', 'SetUserObjectSecurity', 'ChangeServiceConfig2A', |
2044 |
+ 'ChangeServiceConfig2W', 'ChangeServiceConfigA', 'ChangeServiceConfigW', |
2045 |
+ 'CloseServiceHandle', 'ControlService', 'CreateServiceA', 'CreateServiceW', |
2046 |
+ 'DeleteService', 'EnumDependentServicesA', 'EnumDependentServicesW', |
2047 |
+ 'EnumServicesStatusA', 'EnumServicesStatusW', 'GetServiceDisplayNameA', |
2048 |
+ 'GetServiceDisplayNameW', 'GetServiceKeyNameA', 'GetServiceKeyNameW', |
2049 |
+ 'LockServiceDatabase', 'NotifyBootConfigStatus', 'OpenSCManagerA', 'OpenSCManagerW', |
2050 |
+ 'OpenServiceA', 'OpenServiceW', 'QueryServiceConfig2A', 'QueryServiceConfig2W', |
2051 |
+ 'QueryServiceConfigA', 'QueryServiceConfigW', 'QueryServiceLockStatusA', |
2052 |
+ 'QueryServiceLockStatusW', 'QueryServiceObjectSecurity', 'QueryServiceStatus', |
2053 |
+ 'RegisterServiceCtrlHandlerA', 'RegisterServiceCtrlHandlerW', |
2054 |
+ 'SetServiceObjectSecurity', 'SetServiceStatus', 'StartServiceA', |
2055 |
+ 'StartServiceCtrlDispatcherA', 'StartServiceCtrlDispatcherW', 'StartServiceW', |
2056 |
+ 'UnlockServiceDatabase', |
2057 |
+ |
2058 |
+ 'MultinetGetConnectionPerformanceA', 'MultinetGetConnectionPerformanceW', |
2059 |
+ 'NetAlertRaise', 'NetAlertRaiseEx', 'NetApiBufferAllocate', 'NetApiBufferFree', |
2060 |
+ 'NetApiBufferReallocate', 'NetApiBufferSize', 'NetConnectionEnum', 'NetFileClose', |
2061 |
+ 'NetFileGetInfo', 'NetGetAnyDCName', 'NetGetDCName', 'NetGetDisplayInformationIndex', |
2062 |
+ 'NetGroupAdd', 'NetGroupAddUser', 'NetGroupDel', 'NetGroupDelUser', 'NetGroupEnum', |
2063 |
+ 'NetGroupGetInfo', 'NetGroupGetUsers', 'NetGroupSetInfo', 'NetGroupSetUsers', |
2064 |
+ 'NetLocalGroupAdd', 'NetLocalGroupAddMember', 'NetLocalGroupAddMembers', |
2065 |
+ 'NetLocalGroupDel', 'NetLocalGroupDelMember', 'NetLocalGroupDelMembers', |
2066 |
+ 'NetLocalGroupEnum', 'NetLocalGroupGetInfo', 'NetLocalGroupGetMembers', |
2067 |
+ 'NetLocalGroupSetInfo', 'NetLocalGroupSetMembers', 'NetMessageBufferSend', |
2068 |
+ 'NetMessageNameAdd', 'NetMessageNameDel', 'NetMessageNameEnum', |
2069 |
+ 'NetMessageNameGetInfo', 'NetQueryDisplayInformation', 'NetRemoteComputerSupports', |
2070 |
+ 'NetRemoteTOd', 'NetReplExportDirAdd', 'NetReplExportDirDel', 'NetReplExportDirEnum', |
2071 |
+ 'NetReplExportDirGetInfo', 'NetReplExportDirLock', 'NetReplExportDirSetInfo', |
2072 |
+ 'NetReplExportDirUnlock', 'NetReplGetInfo', 'NetReplImportDirAdd', |
2073 |
+ 'NetReplImportDirDel', 'NetReplImportDirEnum', 'NetReplImportDirGetInfo', |
2074 |
+ 'NetReplImportDirLock', 'NetReplImportDirUnlock', 'NetReplSetInfo', |
2075 |
+ 'NetScheduleJobAdd', 'NetScheduleJobDel', 'NetScheduleJobEnum', |
2076 |
+ 'NetScheduleJobGetInfo', 'NetServerComputerNameAdd', 'NetServerComputerNameDel', |
2077 |
+ 'NetServerDiskEnum', 'NetServerEnum', 'NetServerEnumEx', 'NetServerGetInfo', |
2078 |
+ 'NetServerSetInfo', 'NetServerTransportAdd', 'NetServerTransportAddEx', |
2079 |
+ 'NetServerTransportDel', 'NetServerTransportEnum', 'NetSessionDel', 'NetSessionEnum', |
2080 |
+ 'NetSessionGetInfo', 'NetShareAdd', 'NetShareCheck', 'NetShareDel', 'NetShareEnum', |
2081 |
+ 'NetShareGetInfo', 'NetShareSetInfo', 'NetStatisticsGet', 'NetUseAdd', 'NetUseDel', |
2082 |
+ 'NetUseEnum', 'NetUseGetInfo', 'NetUserAdd', 'NetUserChangePassword', 'NetUserDel', |
2083 |
+ 'NetUserEnum', 'NetUserGetGroups', 'NetUserGetInfo', 'NetUserGetLocalGroups', |
2084 |
+ 'NetUserModalsGet', 'NetUserModalsSet', 'NetUserSetGroups', 'NetUserSetInfo', |
2085 |
+ 'NetWkstaGetInfo', 'NetWkstaSetInfo', 'NetWkstaTransportAdd', 'NetWkstaTransportDel', |
2086 |
+ 'NetWkstaTransportEnum', 'NetWkstaUserEnum', 'NetWkstaUserGetInfo', |
2087 |
+ 'NetWkstaUserSetInfo', 'WNetAddConnection2A', 'WNetAddConnection2W', |
2088 |
+ 'WNetAddConnection3A', 'WNetAddConnection3W', 'WNetAddConnectionA', |
2089 |
+ 'WNetAddConnectionW', 'WNetCancelConnection2A', 'WNetCancelConnection2W', |
2090 |
+ 'WNetCancelConnectionA', 'WNetCancelConnectionW', 'WNetCloseEnum', |
2091 |
+ 'WNetConnectionDialog', 'WNetConnectionDialog1A', 'WNetConnectionDialog1W', |
2092 |
+ 'WNetDisconnectDialog', 'WNetDisconnectDialog1A', 'WNetDisconnectDialog1W', |
2093 |
+ 'WNetEnumResourceA', 'WNetEnumResourceW', 'WNetGetConnectionA', 'WNetGetConnectionW', |
2094 |
+ 'WNetGetLastErrorA', 'WNetGetLastErrorW', 'WNetGetNetworkInformationA', |
2095 |
+ 'WNetGetNetworkInformationW', 'WNetGetProviderNameA', 'WNetGetProviderNameW', |
2096 |
+ 'WNetGetResourceInformationA', 'WNetGetResourceInformationW', |
2097 |
+ 'WNetGetResourceParentA', 'WNetGetResourceParentW', 'WNetGetUniversalNameA', |
2098 |
+ 'WNetGetUniversalNameW', 'WNetGetUserA', 'WNetGetUserW', 'WNetOpenEnumA', |
2099 |
+ 'WNetOpenEnumW', 'WNetUseConnectionA', 'WnetUseConnectionW', |
2100 |
+ |
2101 |
+ 'accept', 'bind', 'closesocket', 'connect', 'gethostbyaddr', 'gethostbyname', |
2102 |
+ 'gethostname', 'getpeername', 'getprotobyname', 'getprotobynumber', 'getservbyname', |
2103 |
+ 'getservbyport', 'getsockname', 'getsockopt', 'htonl', 'htons', 'inet_addr', |
2104 |
+ 'inet_ntoa', 'ioctlsocket', 'listen', 'ntohl', 'ntohs', 'recv', 'recvfrom', 'select', |
2105 |
+ 'send', 'sendto', 'setsockopt', 'shutdown', 'socket', 'WSAAccept', |
2106 |
+ 'WSAAddressToStringA', 'WSAAddressToStringW', 'WSAAsyncGetHostByAddr', |
2107 |
+ 'WSAAsyncGetHostByName', 'WSAAsyncGetProtoByName', 'WSAAsyncGetProtoByNumber', |
2108 |
+ 'WSAAsyncGetServByName', 'WSAAsyncGetServByPort', 'WSAAsyncSelect', |
2109 |
+ 'WSACancelAsyncRequest', 'WSACancelBlockingCall', 'WSACleanup', 'WSACloseEvent', |
2110 |
+ 'WSAConnect', 'WSACreateEvent', 'WSADuplicateSocketA', 'WSADuplicateSocketW', |
2111 |
+ 'WSAEnumNameSpaceProvidersA', 'WSAEnumNameSpaceProvidersW', 'WSAEnumNetworkEvents', |
2112 |
+ 'WSAEnumProtocolsA', 'WSAEnumProtocolsW', 'WSAEventSelect', 'WSAGetLastError', |
2113 |
+ 'WSAGetOverlappedResult', 'WSAGetQOSByName', 'WSAGetServiceClassInfoA', |
2114 |
+ 'WSAGetServiceClassInfoW', 'WSAGetServiceClassNameByClassIdA', |
2115 |
+ 'WSAGetServiceClassNameByClassIdW', 'WSAHtonl', 'WSAHtons', 'WSAInstallServiceClassA', |
2116 |
+ 'WSAInstallServiceClassW', 'WSAIoctl', 'WSAIsBlocking', 'WSAJoinLeaf', |
2117 |
+ 'WSALookupServiceBeginA', 'WSALookupServiceBeginW', 'WSALookupServiceEnd', |
2118 |
+ 'WSALookupServiceNextA', 'WSALookupServiceNextW', 'WSANtohl', 'WSANtohs', |
2119 |
+ 'WSAProviderConfigChange', 'WSARecv', 'WSARecvDisconnect', 'WSARecvFrom', |
2120 |
+ 'WSARemoveServiceClass', 'WSAResetEvent', 'WSASend', 'WSASendDisconnect', 'WSASendTo', |
2121 |
+ 'WSASetBlockingHook', 'WSASetEvent', 'WSASetLastError', 'WSASetServiceA', |
2122 |
+ 'WSASetServiceW', 'WSASocketA', 'WSASocketW', 'WSAStartup', 'WSAStringToAddressA', |
2123 |
+ 'WSAStringToAddressW', 'WSAUnhookBlockingHook', 'WSAWaitForMultipleEvents', |
2124 |
+ 'WSCDeinstallProvider', 'WSCEnableNSProvider', 'WSCEnumProtocols', |
2125 |
+ 'WSCGetProviderPath', 'WSCInstallNameSpace', 'WSCInstallProvider', |
2126 |
+ 'WSCUnInstallNameSpace', |
2127 |
+ |
2128 |
+ 'ContinueDebugEvent', 'DebugActiveProcess', 'DebugBreak', 'FatalExit', |
2129 |
+ 'FlushInstructionCache', 'GetThreadContext', 'GetThreadSelectorEntry', |
2130 |
+ 'IsDebuggerPresent', 'OutputDebugStringA', 'OutputDebugStringW', 'ReadProcessMemory', |
2131 |
+ 'SetDebugErrorLevel', 'SetThreadContext', 'WaitForDebugEvent', 'WriteProcessMemory', |
2132 |
+ |
2133 |
+ 'CloseHandle', 'DuplicateHandle', 'GetHandleInformation', 'SetHandleInformation', |
2134 |
+ |
2135 |
+ 'AdjustWindowRect', 'AdjustWindowRectEx', 'AllowSetForegroundWindow', |
2136 |
+ 'AnimateWindow', 'AnyPopup', 'ArrangeIconicWindows', 'BeginDeferWindowPos', |
2137 |
+ 'BringWindowToTop', 'CascadeWindows', 'ChildWindowFromPoint', |
2138 |
+ 'ChildWindowFromPointEx', 'CloseWindow', 'CreateWindowExA', 'CreateWindowExW', |
2139 |
+ 'DeferWindowPos', 'DestroyWindow', 'EndDeferWindowPos', 'EnumChildWindows', |
2140 |
+ 'EnumThreadWindows', 'EnumWindows', 'FindWindowA', 'FindWindowExA', 'FindWindowExW', |
2141 |
+ 'FindWindowW', 'GetAltTabInfoA', 'GetAltTabInfoW', 'GetAncestor', 'GetClientRect', |
2142 |
+ 'GetDesktopWindow', 'GetForegroundWindow', 'GetGUIThreadInfo', 'GetLastActivePopup', |
2143 |
+ 'GetLayout', 'GetParent', 'GetProcessDefaultLayout', 'GetTitleBarInf', 'GetTopWindow', |
2144 |
+ 'GetWindow', 'GetWindowInfo', 'GetWindowModuleFileNameA', 'GetWindowModuleFileNameW', |
2145 |
+ 'GetWindowPlacement', 'GetWindowRect', 'GetWindowTextA', 'GetWindowTextLengthA', |
2146 |
+ 'GetWindowTextLengthW', 'GetWindowTextW', 'GetWindowThreadProcessId', 'IsChild', |
2147 |
+ 'IsIconic', 'IsWindow', 'IsWindowUnicode', 'IsWindowVisible', 'IsZoomed', |
2148 |
+ 'LockSetForegroundWindow', 'MoveWindow', 'OpenIcon', 'RealChildWindowFromPoint', |
2149 |
+ 'RealGetWindowClassA', 'RealGetWindowClassW', 'SetForegroundWindow', |
2150 |
+ 'SetLayeredWindowAttributes', 'SetLayout', 'SetParent', 'SetProcessDefaultLayout', |
2151 |
+ 'SetWindowPlacement', 'SetWindowPos', 'SetWindowTextA', 'SetWindowTextW', |
2152 |
+ 'ShowOwnedPopups', 'ShowWindow', 'ShowWindowAsync', 'TileWindows', |
2153 |
+ 'UpdateLayeredWindow', 'WindowFromPoint', |
2154 |
+ |
2155 |
+ 'CreateDialogIndirectParamA', 'CreateDialogIndirectParamW', 'CreateDialogParamA', |
2156 |
+ 'CreateDialogParamW', 'DefDlgProcA', 'DefDlgProcW', 'DialogBoxIndirectParamA', |
2157 |
+ 'DialogBoxIndirectParamW', 'DialogBoxParamA', 'DialogBoxParamW', 'EndDialog', |
2158 |
+ 'GetDialogBaseUnits', 'GetDlgCtrlID', 'GetDlgItem', 'GetDlgItemInt', |
2159 |
+ 'GetDlgItemTextA', 'GetDlgItemTextW', 'GetNextDlgGroupItem', 'GetNextDlgTabItem', |
2160 |
+ 'IsDialogMessageA', 'IsDialogMessageW', 'MapDialogRect', 'MessageBoxA', |
2161 |
+ 'MessageBoxExA', 'MessageBoxExW', 'MessageBoxIndirectA', 'MessageBoxIndirectW', |
2162 |
+ 'MessageBoxW', 'SendDlgItemMessageA', 'SendDlgItemMessageW', 'SetDlgItemInt', |
2163 |
+ 'SetDlgItemTextA', 'SetDlgItemTextW', |
2164 |
+ |
2165 |
+ 'GetWriteWatch', 'GlobalMemoryStatus', 'GlobalMemoryStatusEx', 'IsBadCodePtr', |
2166 |
+ 'IsBadReadPtr', 'IsBadStringPtrA', 'IsBadStringPtrW', 'IsBadWritePtr', |
2167 |
+ 'ResetWriteWatch', 'AllocateUserPhysicalPages', 'FreeUserPhysicalPages', |
2168 |
+ 'MapUserPhysicalPages', 'MapUserPhysicalPagesScatter', 'GlobalAlloc', 'GlobalFlags', |
2169 |
+ 'GlobalFree', 'GlobalHandle', 'GlobalLock', 'GlobalReAlloc', 'GlobalSize', |
2170 |
+ 'GlobalUnlock', 'LocalAlloc', 'LocalFlags', 'LocalFree', 'LocalHandle', 'LocalLock', |
2171 |
+ 'LocalReAlloc', 'LocalSize', 'LocalUnlock', 'GetProcessHeap', 'GetProcessHeaps', |
2172 |
+ 'HeapAlloc', 'HeapCompact', 'HeapCreate', 'HeapDestroy', 'HeapFree', 'HeapLock', |
2173 |
+ 'HeapReAlloc', 'HeapSize', 'HeapUnlock', 'HeapValidate', 'HeapWalk', 'VirtualAlloc', |
2174 |
+ 'VirtualAllocEx', 'VirtualFree', 'VirtualFreeEx', 'VirtualLock', 'VirtualProtect', |
2175 |
+ 'VirtualProtectEx', 'VirtualQuery', 'VirtualQueryEx', 'VirtualUnlock', |
2176 |
+ 'GetFreeSpace', 'GlobalCompact', 'GlobalFix', 'GlobalUnfix', 'GlobalUnWire', |
2177 |
+ 'GlobalWire', 'IsBadHugeReadPtr', 'IsBadHugeWritePtr', 'LocalCompact', 'LocalShrink', |
2178 |
+ |
2179 |
+ 'GetClassInfoA', 'GetClassInfoW', 'GetClassInfoExA', 'GetClassInfoExW', |
2180 |
+ 'GetClassLongA', 'GetClassLongW', 'GetClassLongPtrA', 'GetClassLongPtrW', |
2181 |
+ 'RegisterClassA', 'RegisterClassW', 'RegisterClassExA', 'RegisterClassExW', |
2182 |
+ 'SetClassLongA', 'SetClassLongW', 'SetClassLongPtrA', 'SetClassLongPtrW', |
2183 |
+ 'SetWindowLongA', 'SetWindowLongW', 'SetWindowLongPtrA', 'SetWindowLongPtrW', |
2184 |
+ 'UnregisterClassA', 'UnregisterClassW', 'GetClassWord', 'GetWindowWord', |
2185 |
+ 'SetClassWord', 'SetWindowWord' |
2186 |
+ ), |
2187 |
+ // Native API |
2188 |
+ 6 => array( |
2189 |
+ 'CsrAllocateCaptureBuffer', 'CsrAllocateCapturePointer', 'CsrAllocateMessagePointer', |
2190 |
+ 'CsrCaptureMessageBuffer', 'CsrCaptureMessageString', 'CsrCaptureTimeout', |
2191 |
+ 'CsrClientCallServer', 'CsrClientConnectToServer', 'CsrFreeCaptureBuffer', |
2192 |
+ 'CsrIdentifyAlertableThread', 'CsrNewThread', 'CsrProbeForRead', 'CsrProbeForWrite', |
2193 |
+ 'CsrSetPriorityClass', |
2194 |
+ |
2195 |
+ 'LdrAccessResource', 'LdrDisableThreadCalloutsForDll', 'LdrEnumResources', |
2196 |
+ 'LdrFindEntryForAddress', 'LdrFindResource_U', 'LdrFindResourceDirectory_U', |
2197 |
+ 'LdrGetDllHandle', 'LdrGetProcedureAddress', 'LdrInitializeThunk', 'LdrLoadDll', |
2198 |
+ 'LdrProcessRelocationBlock', 'LdrQueryImageFileExecutionOptions', |
2199 |
+ 'LdrQueryProcessModuleInformation', 'LdrShutdownProcess', 'LdrShutdownThread', |
2200 |
+ 'LdrUnloadDll', 'LdrVerifyImageMatchesChecksum', |
2201 |
+ |
2202 |
+ 'NtAcceptConnectPort', 'ZwAcceptConnectPort', 'NtCompleteConnectPort', |
2203 |
+ 'ZwCompleteConnectPort', 'NtConnectPort', 'ZwConnectPort', 'NtCreatePort', |
2204 |
+ 'ZwCreatePort', 'NtImpersonateClientOfPort', 'ZwImpersonateClientOfPort', |
2205 |
+ 'NtListenPort', 'ZwListenPort', 'NtQueryInformationPort', 'ZwQueryInformationPort', |
2206 |
+ 'NtReadRequestData', 'ZwReadRequestData', 'NtReplyPort', 'ZwReplyPort', |
2207 |
+ 'NtReplyWaitReceivePort', 'ZwReplyWaitReceivePort', 'NtReplyWaitReplyPort', |
2208 |
+ 'ZwReplyWaitReplyPort', 'NtRequestPort', 'ZwRequestPort', 'NtRequestWaitReplyPort', |
2209 |
+ 'ZwRequestWaitReplyPort', 'NtSecureConnectPort', 'ZwSecureConnectPort', |
2210 |
+ 'NtWriteRequestData', 'ZwWriteRequestData', |
2211 |
+ |
2212 |
+ 'NtAccessCheck', 'ZwAccessCheck', 'NtAccessCheckAndAuditAlarm', |
2213 |
+ 'ZwAccessCheckAndAuditAlarm', 'NtAccessCheckByType', 'ZwAccessCheckByType', |
2214 |
+ 'NtAccessCheckByTypeAndAuditAlarm', 'ZwAccessCheckByTypeAndAuditAlarm', |
2215 |
+ 'NtAccessCheckByTypeResultList', 'ZwAccessCheckByTypeResultList', |
2216 |
+ 'NtAdjustGroupsToken', 'ZwAdjustGroupsToken', 'NtAdjustPrivilegesToken', |
2217 |
+ 'ZwAdjustPrivilegesToken', 'NtCloseObjectAuditAlarm', 'ZwCloseObjectAuditAlarm', |
2218 |
+ 'NtCreateToken', 'ZwCreateToken', 'NtDeleteObjectAuditAlarm', |
2219 |
+ 'ZwDeleteObjectAuditAlarm', 'NtDuplicateToken', 'ZwDuplicateToken', |
2220 |
+ 'NtFilterToken', 'ZwFilterToken', 'NtImpersonateThread', 'ZwImpersonateThread', |
2221 |
+ 'NtOpenObjectAuditAlarm', 'ZwOpenObjectAuditAlarm', 'NtOpenProcessToken', |
2222 |
+ 'ZwOpenProcessToken', 'NtOpenThreadToken', 'ZwOpenThreadToken', 'NtPrivilegeCheck', |
2223 |
+ 'ZwPrivilegeCheck', 'NtPrivilegedServiceAuditAlarm', 'ZwPrivilegedServiceAuditAlarm', |
2224 |
+ 'NtPrivilegeObjectAuditAlarm', 'ZwPrivilegeObjectAuditAlarm', |
2225 |
+ 'NtQueryInformationToken', 'ZwQueryInformationToken', 'NtQuerySecurityObject', |
2226 |
+ 'ZwQuerySecurityObject', 'NtSetInformationToken', 'ZwSetInformationToken', |
2227 |
+ 'NtSetSecurityObject', 'ZwSetSecurityObject', |
2228 |
+ |
2229 |
+ 'NtAddAtom', 'ZwAddAtom', 'NtDeleteAtom', 'ZwDeleteAtom', 'NtFindAtom', 'ZwFindAtom', |
2230 |
+ 'NtQueryInformationAtom', 'ZwQueryInformationAtom', |
2231 |
+ |
2232 |
+ 'NtAlertResumeThread', 'ZwAlertResumeThread', 'NtAlertThread', 'ZwAlertThread', |
2233 |
+ 'NtCreateProcess', 'ZwCreateProcess', 'NtCreateThread', 'ZwCreateThread', |
2234 |
+ 'NtCurrentTeb', 'NtDelayExecution', 'ZwDelayExecution', 'NtGetContextThread', |
2235 |
+ 'ZwGetContextThread', 'NtOpenProcess', 'ZwOpenProcess', 'NtOpenThread', |
2236 |
+ 'ZwOpenThread', 'NtQueryInformationProcess', 'ZwQueryInformationProcess', |
2237 |
+ 'NtQueryInformationThread', 'ZwQueryInformationThread', 'NtQueueApcThread', |
2238 |
+ 'ZwQueueApcThread', 'NtResumeThread', 'ZwResumeThread', 'NtSetContextThread', |
2239 |
+ 'ZwSetContextThread', 'NtSetHighWaitLowThread', 'ZwSetHighWaitLowThread', |
2240 |
+ 'NtSetInformationProcess', 'ZwSetInformationProcess', 'NtSetInformationThread', |
2241 |
+ 'ZwSetInformationThread', 'NtSetLowWaitHighThread', 'ZwSetLowWaitHighThread', |
2242 |
+ 'NtSuspendThread', 'ZwSuspendThread', 'NtTerminateProcess', 'ZwTerminateProcess', |
2243 |
+ 'NtTerminateThread', 'ZwTerminateThread', 'NtTestAlert', 'ZwTestAlert', |
2244 |
+ 'NtYieldExecution', 'ZwYieldExecution', |
2245 |
+ |
2246 |
+ 'NtAllocateVirtualMemory', 'ZwAllocateVirtualMemory', 'NtAllocateVirtualMemory64', |
2247 |
+ 'ZwAllocateVirtualMemory64', 'NtAreMappedFilesTheSame', 'ZwAreMappedFilesTheSame', |
2248 |
+ 'NtCreateSection', 'ZwCreateSection', 'NtExtendSection', 'ZwExtendSection', |
2249 |
+ 'NtFlushVirtualMemory', 'ZwFlushVirtualMemory', 'NtFreeVirtualMemory', |
2250 |
+ 'ZwFreeVirtualMemory', 'NtFreeVirtualMemory64', 'ZwFreeVirtualMemory64', |
2251 |
+ 'NtLockVirtualMemory', 'ZwLockVirtualMemory', 'NtMapViewOfSection', |
2252 |
+ 'ZwMapViewOfSection', 'NtMapViewOfVlmSection', 'ZwMapViewOfVlmSection', |
2253 |
+ 'NtOpenSection', 'ZwOpenSection', 'NtProtectVirtualMemory', 'ZwProtectVirtualMemory', |
2254 |
+ 'NtProtectVirtualMemory64', 'ZwProtectVirtualMemory64', 'NtQueryVirtualMemory', |
2255 |
+ 'ZwQueryVirtualMemory', 'NtQueryVirtualMemory64', 'ZwQueryVirtualMemory64', |
2256 |
+ 'NtReadVirtualMemory', 'ZwReadVirtualMemory', 'NtReadVirtualMemory64', |
2257 |
+ 'ZwReadVirtualMemory64', 'NtUnlockVirtualMemory', 'ZwUnlockVirtualMemory', |
2258 |
+ 'NtUnmapViewOfSection', 'ZwUnmapViewOfSection', 'NtUnmapViewOfVlmSection', |
2259 |
+ 'ZwUnmapViewOfVlmSection', 'NtWriteVirtualMemory', 'ZwWriteVirtualMemory', |
2260 |
+ 'NtWriteVirtualMemory64', 'ZwWriteVirtualMemory64', |
2261 |
+ |
2262 |
+ 'NtAssignProcessToJobObject', 'ZwAssignProcessToJobObject', 'NtCreateJobObject', |
2263 |
+ 'ZwCreateJobObject', 'NtOpenJobObject', 'ZwOpenJobObject', |
2264 |
+ 'NtQueryInformationJobObject', 'ZwQueryInformationJobObject', |
2265 |
+ 'NtSetInformationJobObject', 'ZwSetInformationJobObject', 'NtTerminateJobObject', |
2266 |
+ 'ZwTerminateJobObject', |
2267 |
+ |
2268 |
+ 'NtCancelIoFile', 'ZwCancelIoFile', 'NtCreateFile', 'ZwCreateFile', |
2269 |
+ 'NtCreateIoCompletion', 'ZwCreateIoCompletion', 'NtDeleteFile', 'ZwDeleteFile', |
2270 |
+ 'NtDeviceIoControlFile', 'ZwDeviceIoControlFile', 'NtFlushBuffersFile', |
2271 |
+ 'ZwFlushBuffersFile', 'NtFsControlFile', 'ZwFsControlFile', 'NtLockFile', 'ZwLockFile', |
2272 |
+ 'NtNotifyChangeDirectoryFile', 'ZwNotifyChangeDirectoryFile', 'NtOpenFile', |
2273 |
+ 'ZwOpenFile', 'NtOpenIoCompletion', 'ZwOpenIoCompletion', 'NtQueryAttributesFile', |
2274 |
+ 'ZwQueryAttributesFile', 'NtQueryDirectoryFile', 'ZwQueryDirectoryFile', |
2275 |
+ 'NtQueryEaFile', 'ZwQueryEaFile', 'NtQueryIoCompletion', 'ZwQueryIoCompletion', |
2276 |
+ 'NtQueryQuotaInformationFile', 'ZwQueryQuotaInformationFile', |
2277 |
+ 'NtQueryVolumeInformationFile', 'ZwQueryVolumeInformationFile', 'NtReadFile', |
2278 |
+ 'ZwReadFile', 'NtReadFile64', 'ZwReadFile64', 'NtReadFileScatter', 'ZwReadFileScatter', |
2279 |
+ 'NtRemoveIoCompletion', 'ZwRemoveIoCompletion', 'NtSetEaFile', 'ZwSetEaFile', |
2280 |
+ 'NtSetInformationFile', 'ZwSetInformationFile', 'NtSetIoCompletion', |
2281 |
+ 'ZwSetIoCompletion', 'NtSetQuotaInformationFile', 'ZwSetQuotaInformationFile', |
2282 |
+ 'NtSetVolumeInformationFile', 'ZwSetVolumeInformationFile', 'NtUnlockFile', |
2283 |
+ 'ZwUnlockFile', 'NtWriteFile', 'ZwWriteFile', 'NtWriteFile64','ZwWriteFile64', |
2284 |
+ 'NtWriteFileGather', 'ZwWriteFileGather', 'NtQueryFullAttributesFile', |
2285 |
+ 'ZwQueryFullAttributesFile', 'NtQueryInformationFile', 'ZwQueryInformationFile', |
2286 |
+ |
2287 |
+ 'RtlAbortRXact', 'RtlAbsoluteToSelfRelativeSD', 'RtlAcquirePebLock', |
2288 |
+ 'RtlAcquireResourceExclusive', 'RtlAcquireResourceShared', 'RtlAddAccessAllowedAce', |
2289 |
+ 'RtlAddAccessDeniedAce', 'RtlAddAce', 'RtlAddActionToRXact', 'RtlAddAtomToAtomTable', |
2290 |
+ 'RtlAddAttributeActionToRXact', 'RtlAddAuditAccessAce', 'RtlAddCompoundAce', |
2291 |
+ 'RtlAdjustPrivilege', 'RtlAllocateAndInitializeSid', 'RtlAllocateHandle', |
2292 |
+ 'RtlAllocateHeap', 'RtlAnsiCharToUnicodeChar', 'RtlAnsiStringToUnicodeSize', |
2293 |
+ 'RtlAnsiStringToUnicodeString', 'RtlAppendAsciizToString', 'RtlAppendStringToString', |
2294 |
+ 'RtlAppendUnicodeStringToString', 'RtlAppendUnicodeToString', 'RtlApplyRXact', |
2295 |
+ 'RtlApplyRXactNoFlush', 'RtlAreAllAccessesGranted', 'RtlAreAnyAccessesGranted', |
2296 |
+ 'RtlAreBitsClear', 'RtlAreBitsSet', 'RtlAssert', 'RtlCaptureStackBackTrace', |
2297 |
+ 'RtlCharToInteger', 'RtlCheckRegistryKey', 'RtlClearAllBits', 'RtlClearBits', |
2298 |
+ 'RtlClosePropertySet', 'RtlCompactHeap', 'RtlCompareMemory', 'RtlCompareMemoryUlong', |
2299 |
+ 'RtlCompareString', 'RtlCompareUnicodeString', 'RtlCompareVariants', |
2300 |
+ 'RtlCompressBuffer', 'RtlConsoleMultiByteToUnicodeN', 'RtlConvertExclusiveToShared', |
2301 |
+ 'RtlConvertLongToLargeInteger', 'RtlConvertPropertyToVariant', |
2302 |
+ 'RtlConvertSharedToExclusive', 'RtlConvertSidToUnicodeString', |
2303 |
+ 'RtlConvertUiListToApiList', 'RtlConvertUlongToLargeInteger', |
2304 |
+ 'RtlConvertVariantToProperty', 'RtlCopyLuid', 'RtlCopyLuidAndAttributesArray', |
2305 |
+ 'RtlCopySecurityDescriptor', 'RtlCopySid', 'RtlCopySidAndAttributesArray', |
2306 |
+ 'RtlCopyString', 'RtlCopyUnicodeString', 'RtlCreateAcl', 'RtlCreateAndSetSD', |
2307 |
+ 'RtlCreateAtomTable', 'RtlCreateEnvironment', 'RtlCreateHeap', |
2308 |
+ 'RtlCreateProcessParameters', 'RtlCreatePropertySet', 'RtlCreateQueryDebugBuffer', |
2309 |
+ 'RtlCreateRegistryKey', 'RtlCreateSecurityDescriptor', 'RtlCreateTagHeap', |
2310 |
+ 'RtlCreateUnicodeString', 'RtlCreateUnicodeStringFromAsciiz', 'RtlCreateUserProcess', |
2311 |
+ 'RtlCreateUserSecurityObject', 'RtlCreateUserThread', 'RtlCustomCPToUnicodeN', |
2312 |
+ 'RtlCutoverTimeToSystemTime', 'RtlDecompressBuffer', 'RtlDecompressFragment', |
2313 |
+ 'RtlDelete', 'RtlDeleteAce', 'RtlDeleteAtomFromAtomTable', 'RtlDeleteCriticalSection', |
2314 |
+ 'RtlDeleteElementGenericTable', 'RtlDeleteNoSplay', 'RtlDeleteRegistryValue', |
2315 |
+ 'RtlDeleteResource', 'RtlDeleteSecurityObject', 'RtlDeNormalizeProcessParams', |
2316 |
+ 'RtlDestroyAtomTable', 'RtlDestroyEnvironment', 'RtlDestroyHandleTable', |
2317 |
+ 'RtlDestroyHeap', 'RtlDestroyProcessParameters', 'RtlDestroyQueryDebugBuffer', |
2318 |
+ 'RtlDetermineDosPathNameType_U', 'RtlDoesFileExists_U', 'RtlDosPathNameToNtPathName_U', |
2319 |
+ 'RtlDosSearchPath_U', 'RtlDowncaseUnicodeString', 'RtlDumpResource', |
2320 |
+ 'RtlEmptyAtomTable', 'RtlEnlargedIntegerMultiply', 'RtlEnlargedUnsignedDivide', |
2321 |
+ 'RtlEnlargedUnsignedMultiply', 'RtlEnterCriticalSection', 'RtlEnumerateGenericTable', |
2322 |
+ 'RtlEnumerateGenericTableWithoutSplaying', 'RtlEnumerateProperties', |
2323 |
+ 'RtlEnumProcessHeaps', 'RtlEqualComputerName', 'RtlEqualDomainName', 'RtlEqualLuid', |
2324 |
+ 'RtlEqualPrefixSid', 'RtlEqualSid', 'RtlEqualString', 'RtlEqualUnicodeString', |
2325 |
+ 'RtlEraseUnicodeString', 'RtlExpandEnvironmentStrings_U', 'RtlExtendedIntegerMultiply', |
2326 |
+ 'RtlExtendedLargeIntegerDivide', 'RtlExtendedMagicDivide', 'RtlExtendHeap', |
2327 |
+ 'RtlFillMemory', 'RtlFillMemoryUlong', 'RtlFindClearBits', 'RtlFindClearBitsAndSet', |
2328 |
+ 'RtlFindLongestRunClear', 'RtlFindLongestRunSet', 'RtlFindMessage', 'RtlFindSetBits', |
2329 |
+ 'RtlFindSetBitsAndClear', 'RtlFirstFreeAce', 'RtlFlushPropertySet', |
2330 |
+ 'RtlFormatCurrentUserKeyPath', 'RtlFormatMessage', 'RtlFreeAnsiString', |
2331 |
+ 'RtlFreeHandle', 'RtlFreeHeap', 'RtlFreeOemString', 'RtlFreeSid', |
2332 |
+ 'RtlFreeUnicodeString', 'RtlFreeUserThreadStack', 'RtlGenerate8dot3Name', 'RtlGetAce', |
2333 |
+ 'RtlGetCallersAddress', 'RtlGetCompressionWorkSpaceSize', |
2334 |
+ 'RtlGetControlSecurityDescriptor', 'RtlGetCurrentDirectory_U', |
2335 |
+ 'RtlGetDaclSecurityDescriptor', 'RtlGetElementGenericTable', 'RtlGetFullPathName_U', |
2336 |
+ 'RtlGetGroupSecurityDescriptor', 'RtlGetLongestNtPathLength', 'RtlGetNtGlobalFlags', |
2337 |
+ 'RtlGetNtProductType', 'RtlGetOwnerSecurityDescriptor', 'RtlGetProcessHeaps', |
2338 |
+ 'RtlGetSaclSecurityDescriptor', 'RtlGetUserInfoHeap', 'RtlGuidToPropertySetName', |
2339 |
+ 'RtlIdentifierAuthoritySid', 'RtlImageDirectoryEntryToData', 'RtlImageNtHeader', |
2340 |
+ 'RtlImageRvaToSection', 'RtlImageRvaToVa', 'RtlImpersonateSelf', 'RtlInitAnsiString', |
2341 |
+ 'RtlInitCodePageTable', 'RtlInitializeAtomPackage', 'RtlInitializeBitMap', |
2342 |
+ 'RtlInitializeContext', 'RtlInitializeCriticalSection', |
2343 |
+ 'RtlInitializeCriticalSectionAndSpinCount', 'RtlInitializeGenericTable', |
2344 |
+ 'RtlInitializeHandleTable', 'RtlInitializeResource', 'RtlInitializeRXact', |
2345 |
+ 'RtlInitializeSid', 'RtlInitNlsTables', 'RtlInitString', 'RtlInitUnicodeString', |
2346 |
+ 'RtlInsertElementGenericTable', 'RtlIntegerToChar', 'RtlIntegerToUnicodeString', |
2347 |
+ 'RtlIsDosDeviceName_U', 'RtlIsGenericTableEmpty', 'RtlIsNameLegalDOS8Dot3', |
2348 |
+ 'RtlIsTextUnicode', 'RtlIsValidHandle', 'RtlIsValidIndexHandle', 'RtlLargeIntegerAdd', |
2349 |
+ 'RtlLargeIntegerArithmeticShift', 'RtlLargeIntegerDivide', 'RtlLargeIntegerNegate', |
2350 |
+ 'RtlLargeIntegerShiftLeft', 'RtlLargeIntegerShiftRight', 'RtlLargeIntegerSubtract', |
2351 |
+ 'RtlLargeIntegerToChar', 'RtlLeaveCriticalSection', 'RtlLengthRequiredSid', |
2352 |
+ 'RtlLengthSecurityDescriptor', 'RtlLengthSid', 'RtlLocalTimeToSystemTime', |
2353 |
+ 'RtlLockHeap', 'RtlLookupAtomInAtomTable', 'RtlLookupElementGenericTable', |
2354 |
+ 'RtlMakeSelfRelativeSD', 'RtlMapGenericMask', 'RtlMoveMemory', |
2355 |
+ 'RtlMultiByteToUnicodeN', 'RtlMultiByteToUnicodeSize', 'RtlNewInstanceSecurityObject', |
2356 |
+ 'RtlNewSecurityGrantedAccess', 'RtlNewSecurityObject', 'RtlNormalizeProcessParams', |
2357 |
+ 'RtlNtStatusToDosError', 'RtlNumberGenericTableElements', 'RtlNumberOfClearBits', |
2358 |
+ 'RtlNumberOfSetBits', 'RtlOemStringToUnicodeSize', 'RtlOemStringToUnicodeString', |
2359 |
+ 'RtlOemToUnicodeN', 'RtlOnMappedStreamEvent', 'RtlOpenCurrentUser', |
2360 |
+ 'RtlPcToFileHeader', 'RtlPinAtomInAtomTable', 'RtlpNtCreateKey', |
2361 |
+ 'RtlpNtEnumerateSubKey', 'RtlpNtMakeTemporaryKey', 'RtlpNtOpenKey', |
2362 |
+ 'RtlpNtQueryValueKey', 'RtlpNtSetValueKey', 'RtlPrefixString', |
2363 |
+ 'RtlPrefixUnicodeString', 'RtlPropertySetNameToGuid', 'RtlProtectHeap', |
2364 |
+ 'RtlpUnWaitCriticalSection', 'RtlpWaitForCriticalSection', 'RtlQueryAtomInAtomTable', |
2365 |
+ 'RtlQueryEnvironmentVariable_U', 'RtlQueryInformationAcl', |
2366 |
+ 'RtlQueryProcessBackTraceInformation', 'RtlQueryProcessDebugInformation', |
2367 |
+ 'RtlQueryProcessHeapInformation', 'RtlQueryProcessLockInformation', |
2368 |
+ 'RtlQueryProperties', 'RtlQueryPropertyNames', 'RtlQueryPropertySet', |
2369 |
+ 'RtlQueryRegistryValues', 'RtlQuerySecurityObject', 'RtlQueryTagHeap', |
2370 |
+ 'RtlQueryTimeZoneInformation', 'RtlRaiseException', 'RtlRaiseStatus', 'RtlRandom', |
2371 |
+ 'RtlReAllocateHeap', 'RtlRealPredecessor', 'RtlRealSuccessor', 'RtlReleasePebLock', |
2372 |
+ 'RtlReleaseResource', 'RtlRemoteCall', 'RtlResetRtlTranslations', |
2373 |
+ 'RtlRunDecodeUnicodeString', 'RtlRunEncodeUnicodeString', 'RtlSecondsSince1970ToTime', |
2374 |
+ 'RtlSecondsSince1980ToTime', 'RtlSelfRelativeToAbsoluteSD', 'RtlSetAllBits', |
2375 |
+ 'RtlSetAttributesSecurityDescriptor', 'RtlSetBits', 'RtlSetCriticalSectionSpinCount', |
2376 |
+ 'RtlSetCurrentDirectory_U', 'RtlSetCurrentEnvironment', 'RtlSetDaclSecurityDescriptor', |
2377 |
+ 'RtlSetEnvironmentVariable', 'RtlSetGroupSecurityDescriptor', 'RtlSetInformationAcl', |
2378 |
+ 'RtlSetOwnerSecurityDescriptor', 'RtlSetProperties', 'RtlSetPropertyNames', |
2379 |
+ 'RtlSetPropertySetClassId', 'RtlSetSaclSecurityDescriptor', 'RtlSetSecurityObject', |
2380 |
+ 'RtlSetTimeZoneInformation', 'RtlSetUnicodeCallouts', 'RtlSetUserFlagsHeap', |
2381 |
+ 'RtlSetUserValueHeap', 'RtlSizeHeap', 'RtlSplay', 'RtlStartRXact', |
2382 |
+ 'RtlSubAuthorityCountSid', 'RtlSubAuthoritySid', 'RtlSubtreePredecessor', |
2383 |
+ 'RtlSubtreeSuccessor', 'RtlSystemTimeToLocalTime', 'RtlTimeFieldsToTime', |
2384 |
+ 'RtlTimeToElapsedTimeFields', 'RtlTimeToSecondsSince1970', 'RtlTimeToSecondsSince1980', |
2385 |
+ 'RtlTimeToTimeFields', 'RtlTryEnterCriticalSection', 'RtlUnicodeStringToAnsiSize', |
2386 |
+ 'RtlUnicodeStringToAnsiString', 'RtlUnicodeStringToCountedOemString', |
2387 |
+ 'RtlUnicodeStringToInteger', 'RtlUnicodeStringToOemSize', |
2388 |
+ 'RtlUnicodeStringToOemString', 'RtlUnicodeToCustomCPN', 'RtlUnicodeToMultiByteN', |
2389 |
+ 'RtlUnicodeToMultiByteSize', 'RtlUnicodeToOemN', 'RtlUniform', 'RtlUnlockHeap', |
2390 |
+ 'RtlUnwind', 'RtlUpcaseUnicodeChar', 'RtlUpcaseUnicodeString', |
2391 |
+ 'RtlUpcaseUnicodeStringToAnsiString', 'RtlUpcaseUnicodeStringToCountedOemString', |
2392 |
+ 'RtlUpcaseUnicodeStringToOemString', 'RtlUpcaseUnicodeToCustomCPN', |
2393 |
+ 'RtlUpcaseUnicodeToMultiByteN', 'RtlUpcaseUnicodeToOemN', 'RtlUpperChar', |
2394 |
+ 'RtlUpperString', 'RtlUsageHeap', 'RtlValidAcl', 'RtlValidateHeap', |
2395 |
+ 'RtlValidateProcessHeaps', 'RtlValidSecurityDescriptor', 'RtlValidSid', 'RtlWalkHeap', |
2396 |
+ 'RtlWriteRegistryValue', 'RtlxAnsiStringToUnicodeSize', 'RtlxOemStringToUnicodeSize', |
2397 |
+ 'RtlxUnicodeStringToAnsiSize', 'RtlxUnicodeStringToOemSize', 'RtlZeroHeap', |
2398 |
+ 'RtlZeroMemory', |
2399 |
+ |
2400 |
+ 'NtCancelTimer', 'ZwCancelTimer', 'NtCreateTimer', 'ZwCreateTimer', 'NtGetTickCount', |
2401 |
+ 'ZwGetTickCount', 'NtOpenTimer', 'ZwOpenTimer', 'NtQueryPerformanceCounter', |
2402 |
+ 'ZwQueryPerformanceCounter', 'NtQuerySystemTime', 'ZwQuerySystemTime', 'NtQueryTimer', |
2403 |
+ 'ZwQueryTimer', 'NtQueryTimerResolution', 'ZwQueryTimerResolution', 'NtSetSystemTime', |
2404 |
+ 'ZwSetSystemTime', 'NtSetTimer', 'ZwSetTimer', 'NtSetTimerResolution', |
2405 |
+ 'ZwSetTimerResolution', |
2406 |
+ |
2407 |
+ 'NtClearEvent', 'ZwClearEvent', 'NtCreateEvent', 'ZwCreateEvent', 'NtCreateEventPair', |
2408 |
+ 'ZwCreateEventPair', 'NtCreateMutant', 'ZwCreateMutant', 'NtCreateSemaphore', |
2409 |
+ 'ZwCreateSemaphore', 'NtOpenEvent', 'ZwOpenEvent', 'NtOpenEventPair', |
2410 |
+ 'ZwOpenEventPair', 'NtOpenMutant', 'ZwOpenMutant', 'NtOpenSemaphore', |
2411 |
+ 'ZwOpenSemaphore', 'NtPulseEvent', 'ZwPulseEvent', 'NtQueryEvent', 'ZwQueryEvent', |
2412 |
+ 'NtQueryMutant', 'ZwQueryMutant', 'NtQuerySemaphore', 'ZwQuerySemaphore', |
2413 |
+ 'NtReleaseMutant', 'ZwReleaseMutant', 'NtReleaseProcessMutant', |
2414 |
+ 'ZwReleaseProcessMutant', 'NtReleaseSemaphore', 'ZwReleaseSemaphore', |
2415 |
+ 'NtReleaseThreadMutant', 'ZwReleaseThreadMutant', 'NtResetEvent', 'ZwResetEvent', |
2416 |
+ 'NtSetEvent', 'ZwSetEvent', 'NtSetHighEventPair', 'ZwSetHighEventPair', |
2417 |
+ 'NtSetHighWaitLowEventPair', 'ZwSetHighWaitLowEventPair', 'NtSetLowEventPair', |
2418 |
+ 'ZwSetLowEventPair', 'NtSetLowWaitHighEventPair', 'ZwSetLowWaitHighEventPair', |
2419 |
+ 'NtSignalAndWaitForSingleObject', 'ZwSignalAndWaitForSingleObject', |
2420 |
+ 'NtWaitForMultipleObjects', 'ZwWaitForMultipleObjects', 'NtWaitForSingleObject', |
2421 |
+ 'ZwWaitForSingleObject', 'NtWaitHighEventPair', 'ZwWaitHighEventPair', |
2422 |
+ 'NtWaitLowEventPair', 'ZwWaitLowEventPair', |
2423 |
+ |
2424 |
+ 'NtClose', 'ZwClose', 'NtCreateDirectoryObject', 'ZwCreateDirectoryObject', |
2425 |
+ 'NtCreateSymbolicLinkObject', 'ZwCreateSymbolicLinkObject', |
2426 |
+ 'NtDuplicateObject', 'ZwDuplicateObject', 'NtMakeTemporaryObject', |
2427 |
+ 'ZwMakeTemporaryObject', 'NtOpenDirectoryObject', 'ZwOpenDirectoryObject', |
2428 |
+ 'NtOpenSymbolicLinkObject', 'ZwOpenSymbolicLinkObject', 'NtQueryDirectoryObject', |
2429 |
+ 'ZwQueryDirectoryObject', 'NtQueryObject', 'ZwQueryObject', |
2430 |
+ 'NtQuerySymbolicLinkObject', 'ZwQuerySymbolicLinkObject', 'NtSetInformationObject', |
2431 |
+ 'ZwSetInformationObject', |
2432 |
+ |
2433 |
+ 'NtContinue', 'ZwContinue', 'NtRaiseException', 'ZwRaiseException', |
2434 |
+ 'NtRaiseHardError', 'ZwRaiseHardError', 'NtSetDefaultHardErrorPort', |
2435 |
+ 'ZwSetDefaultHardErrorPort', |
2436 |
+ |
2437 |
+ 'NtCreateChannel', 'ZwCreateChannel', 'NtListenChannel', 'ZwListenChannel', |
2438 |
+ 'NtOpenChannel', 'ZwOpenChannel', 'NtReplyWaitSendChannel', 'ZwReplyWaitSendChannel', |
2439 |
+ 'NtSendWaitReplyChannel', 'ZwSendWaitReplyChannel', 'NtSetContextChannel', |
2440 |
+ 'ZwSetContextChannel', |
2441 |
+ |
2442 |
+ 'NtCreateKey', 'ZwCreateKey', 'NtDeleteKey', 'ZwDeleteKey', 'NtDeleteValueKey', |
2443 |
+ 'ZwDeleteValueKey', 'NtEnumerateKey', 'ZwEnumerateKey', 'NtEnumerateValueKey', |
2444 |
+ 'ZwEnumerateValueKey', 'NtFlushKey', 'ZwFlushKey', 'NtInitializeRegistry', |
2445 |
+ 'ZwInitializeRegistry', 'NtLoadKey', 'ZwLoadKey', 'NtLoadKey2', 'ZwLoadKey2', |
2446 |
+ 'NtNotifyChangeKey', 'ZwNotifyChangeKey', 'NtOpenKey', 'ZwOpenKey', 'NtQueryKey', |
2447 |
+ 'ZwQueryKey', 'NtQueryMultipleValueKey', 'ZwQueryMultipleValueKey', |
2448 |
+ 'NtQueryMultiplValueKey', 'ZwQueryMultiplValueKey', 'NtQueryValueKey', |
2449 |
+ 'ZwQueryValueKey', 'NtReplaceKey', 'ZwReplaceKey', 'NtRestoreKey', 'ZwRestoreKey', |
2450 |
+ 'NtSaveKey', 'ZwSaveKey', 'NtSetInformationKey', 'ZwSetInformationKey', |
2451 |
+ 'NtSetValueKey', 'ZwSetValueKey', 'NtUnloadKey', 'ZwUnloadKey', |
2452 |
+ |
2453 |
+ 'NtCreateMailslotFile', 'ZwCreateMailslotFile', 'NtCreateNamedPipeFile', |
2454 |
+ 'ZwCreateNamedPipeFile', 'NtCreatePagingFile', 'ZwCreatePagingFile', |
2455 |
+ |
2456 |
+ 'NtCreateProfile', 'ZwCreateProfile', 'NtQueryIntervalProfile', |
2457 |
+ 'ZwQueryIntervalProfile', 'NtRegisterThreadTerminatePort', |
2458 |
+ 'ZwRegisterThreadTerminatePort', 'NtSetIntervalProfile', 'ZwSetIntervalProfile', |
2459 |
+ 'NtStartProfile', 'ZwStartProfile', 'NtStopProfile', 'ZwStopProfile', |
2460 |
+ 'NtSystemDebugControl', 'ZwSystemDebugControl', |
2461 |
+ |
2462 |
+ 'NtEnumerateBus', 'ZwEnumerateBus', 'NtFlushInstructionCache', |
2463 |
+ 'ZwFlushInstructionCache', 'NtFlushWriteBuffer', 'ZwFlushWriteBuffer', |
2464 |
+ 'NtSetLdtEntries', 'ZwSetLdtEntries', |
2465 |
+ |
2466 |
+ 'NtGetPlugPlayEvent', 'ZwGetPlugPlayEvent', 'NtPlugPlayControl', 'ZwPlugPlayControl', |
2467 |
+ |
2468 |
+ 'NtInitiatePowerAction', 'ZwInitiatePowerAction', 'NtPowerInformation', |
2469 |
+ 'ZwPowerInformation', 'NtRequestWakeupLatency', 'ZwRequestWakeupLatency', |
2470 |
+ 'NtSetSystemPowerState', 'ZwSetSystemPowerState', 'NtSetThreadExecutionState', |
2471 |
+ 'ZwSetThreadExecutionState', |
2472 |
+ |
2473 |
+ 'NtLoadDriver', 'ZwLoadDriver', 'NtRegisterNewDevice', 'ZwRegisterNewDevice', |
2474 |
+ 'NtUnloadDriver', 'ZwUnloadDriver', |
2475 |
+ |
2476 |
+ 'NtQueryDefaultLocale', 'ZwQueryDefaultLocale', 'NtQueryDefaultUILanguage', |
2477 |
+ 'ZwQueryDefaultUILanguage', 'NtQuerySystemEnvironmentValue', |
2478 |
+ 'ZwQuerySystemEnvironmentValue', 'NtSetDefaultLocale', 'ZwSetDefaultLocale', |
2479 |
+ 'NtSetDefaultUILanguage', 'ZwSetDefaultUILanguage', 'NtSetSystemEnvironmentValue', |
2480 |
+ 'ZwSetSystemEnvironmentValue', |
2481 |
+ |
2482 |
+ 'DbgBreakPoint', 'DbgPrint', 'DbgPrompt', 'DbgSsHandleKmApiMsg', 'DbgSsInitialize', |
2483 |
+ 'DbgUiConnectToDbg', 'DbgUiContinue', 'DbgUiWaitStateChange', 'DbgUserBreakPoint', |
2484 |
+ 'KiRaiseUserExceptionDispatcher', 'KiUserApcDispatcher', 'KiUserCallbackDispatcher', |
2485 |
+ 'KiUserExceptionDispatcher', 'NlsAnsiCodePage', 'NlsMbCodePageTag', |
2486 |
+ 'NlsMbOemCodePageTag', 'NtAllocateLocallyUniqueId', 'ZwAllocateLocallyUniqueId', |
2487 |
+ 'NtAllocateUuids', 'ZwAllocateUuids', 'NtCallbackReturn', 'ZwCallbackReturn', |
2488 |
+ 'NtDisplayString', 'ZwDisplayString', 'NtQueryOleDirectoryFile', |
2489 |
+ 'ZwQueryOleDirectoryFile', 'NtQuerySection', 'ZwQuerySection', |
2490 |
+ 'NtQuerySystemInformation', 'ZwQuerySystemInformation', 'NtSetSystemInformation', |
2491 |
+ 'ZwSetSystemInformation', 'NtShutdownSystem', 'ZwShutdownSystem', 'NtVdmControl', |
2492 |
+ 'ZwVdmControl', 'NtW32Call', 'ZwW32Call', 'PfxFindPrefix', 'PfxInitialize', |
2493 |
+ 'PfxInsertPrefix', 'PfxRemovePrefix', 'PropertyLengthAsVariant', 'RestoreEm87Context', |
2494 |
+ 'SaveEm87Context' |
2495 |
+ ) |
2496 |
+ ), |
2497 |
+ 'SYMBOLS' => array( |
2498 |
+ '(', ')', '{', '}', '[', ']', |
2499 |
+ '+', '-', '*', '/', '%', |
2500 |
+ '=', '<', '>', |
2501 |
+ '!', '^', '&', '|', |
2502 |
+ '?', ':', |
2503 |
+ ';', ',' |
2504 |
+ ), |
2505 |
+ 'CASE_SENSITIVE' => array( |
2506 |
+ GESHI_COMMENTS => false, |
2507 |
+ 1 => true, |
2508 |
+ 2 => true, |
2509 |
+ 3 => true, |
2510 |
+ 4 => true, |
2511 |
+ 5 => true, |
2512 |
+ 6 => true |
2513 |
+ ), |
2514 |
+ 'STYLES' => array( |
2515 |
+ 'KEYWORDS' => array( |
2516 |
+ 1 => 'color: #b1b100;', |
2517 |
+ 2 => 'color: #000000; font-weight: bold;', |
2518 |
+ 3 => 'color: #000066;', |
2519 |
+ 4 => 'color: #993333;', |
2520 |
+ 5 => 'color: #4000dd;', |
2521 |
+ 6 => 'color: #4000dd;' |
2522 |
+ ), |
2523 |
+ 'COMMENTS' => array( |
2524 |
+ 1 => 'color: #666666; font-style: italic;', |
2525 |
+ 2 => 'color: #339933;', |
2526 |
+ 'MULTI' => 'color: #808080; font-style: italic;' |
2527 |
+ ), |
2528 |
+ 'ESCAPE_CHAR' => array( |
2529 |
+ 0 => 'color: #000099; font-weight: bold;', |
2530 |
+ 1 => 'color: #000099; font-weight: bold;', |
2531 |
+ 2 => 'color: #660099; font-weight: bold;', |
2532 |
+ 3 => 'color: #660099; font-weight: bold;', |
2533 |
+ 4 => 'color: #660099; font-weight: bold;', |
2534 |
+ 5 => 'color: #006699; font-weight: bold;', |
2535 |
+ 'HARD' => '', |
2536 |
+ ), |
2537 |
+ 'BRACKETS' => array( |
2538 |
+ 0 => 'color: #009900;' |
2539 |
+ ), |
2540 |
+ 'STRINGS' => array( |
2541 |
+ 0 => 'color: #ff0000;' |
2542 |
+ ), |
2543 |
+ 'NUMBERS' => array( |
2544 |
+ 0 => 'color: #0000dd;', |
2545 |
+ GESHI_NUMBER_BIN_PREFIX_0B => 'color: #208080;', |
2546 |
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;', |
2547 |
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;', |
2548 |
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;', |
2549 |
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;', |
2550 |
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;', |
2551 |
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;' |
2552 |
+ ), |
2553 |
+ 'METHODS' => array( |
2554 |
+ 1 => 'color: #202020;', |
2555 |
+ 2 => 'color: #202020;' |
2556 |
+ ), |
2557 |
+ 'SYMBOLS' => array( |
2558 |
+ 0 => 'color: #339933;' |
2559 |
+ ), |
2560 |
+ 'REGEXPS' => array( |
2561 |
+ ), |
2562 |
+ 'SCRIPT' => array( |
2563 |
+ ) |
2564 |
+ ), |
2565 |
+ 'URLS' => array( |
2566 |
+ 1 => '', |
2567 |
+ 2 => '', |
2568 |
+ 3 => 'http://www.opengroup.org/onlinepubs/009695399/functions/{FNAMEL}.html', |
2569 |
+ 4 => '', |
2570 |
+ 5 => 'http://www.google.com/search?q={FNAMEL}+msdn.microsoft.com', |
2571 |
+ 6 => 'http://www.google.com/search?q={FNAMEL}+msdn.microsoft.com' |
2572 |
+ ), |
2573 |
+ 'OOLANG' => true, |
2574 |
+ 'OBJECT_SPLITTERS' => array( |
2575 |
+ 1 => '.', |
2576 |
+ 2 => '::' |
2577 |
+ ), |
2578 |
+ 'REGEXPS' => array( |
2579 |
+ ), |
2580 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
2581 |
+ 'SCRIPT_DELIMITERS' => array( |
2582 |
+ ), |
2583 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
2584 |
+ ), |
2585 |
+ 'TAB_WIDTH' => 4 |
2586 |
+); |
2587 |
|
2588 |
diff --git a/plugins/wp-syntax/geshi/geshi/caddcl.php b/plugins/wp-syntax/geshi/geshi/caddcl.php |
2589 |
index 8b8b2f2..8408f29 100644 |
2590 |
--- a/plugins/wp-syntax/geshi/geshi/caddcl.php |
2591 |
+++ b/plugins/wp-syntax/geshi/geshi/caddcl.php |
2592 |
@@ -4,7 +4,7 @@ |
2593 |
* ---------- |
2594 |
* Author: Roberto Rossi (rsoftware@××××××××××.org) |
2595 |
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter) |
2596 |
- * Release Version: 1.0.8.11 |
2597 |
+ * Release Version: 1.0.8.12 |
2598 |
* Date Started: 2004/08/30 |
2599 |
* |
2600 |
* CAD DCL (Dialog Control Language) language file for GeSHi. |
2601 |
@@ -122,5 +122,3 @@ $language_data = array ( |
2602 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
2603 |
) |
2604 |
); |
2605 |
- |
2606 |
-?> |
2607 |
|
2608 |
diff --git a/plugins/wp-syntax/geshi/geshi/cadlisp.php b/plugins/wp-syntax/geshi/geshi/cadlisp.php |
2609 |
index 3fa7ead..6a91375 100644 |
2610 |
--- a/plugins/wp-syntax/geshi/geshi/cadlisp.php |
2611 |
+++ b/plugins/wp-syntax/geshi/geshi/cadlisp.php |
2612 |
@@ -4,7 +4,7 @@ |
2613 |
* ----------- |
2614 |
* Author: Roberto Rossi (rsoftware@××××××××××.org) |
2615 |
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/blog) |
2616 |
- * Release Version: 1.0.8.11 |
2617 |
+ * Release Version: 1.0.8.12 |
2618 |
* Date Started: 2004/08/30 |
2619 |
* |
2620 |
* AutoCAD/IntelliCAD Lisp language file for GeSHi. |
2621 |
@@ -182,5 +182,3 @@ $language_data = array ( |
2622 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
2623 |
) |
2624 |
); |
2625 |
- |
2626 |
-?> |
2627 |
|
2628 |
diff --git a/plugins/wp-syntax/geshi/geshi/ceylon.php b/plugins/wp-syntax/geshi/geshi/ceylon.php |
2629 |
new file mode 100644 |
2630 |
index 0000000..d52a6ae |
2631 |
--- /dev/null |
2632 |
+++ b/plugins/wp-syntax/geshi/geshi/ceylon.php |
2633 |
@@ -0,0 +1,174 @@ |
2634 |
+<?php |
2635 |
+/************************************************************************************* |
2636 |
+ * ceylon.php |
2637 |
+ * ---------- |
2638 |
+ * Author: Lucas Werkmeister (mail@××××××××××××××××.de) |
2639 |
+ * Copyright: (c) 2015 Lucas Werkmeister (http://lucaswerkmeister.de) |
2640 |
+ * Release Version: 1.0.8.12 |
2641 |
+ * Date Started: 2015-01-08 |
2642 |
+ * |
2643 |
+ * Ceylon language file for GeSHi. |
2644 |
+ * |
2645 |
+ * CHANGES |
2646 |
+ * ------- |
2647 |
+ * |
2648 |
+ * |
2649 |
+ * TODO (updated 2015-06-19) |
2650 |
+ * ------------------ |
2651 |
+ * * Regexes match and break help URLs, so those are commented out for now |
2652 |
+ * * Ceylon supports nested block comments |
2653 |
+ * * The Ceylon compiler correctly parses |
2654 |
+ * "\{FICTITIOUS CHARACTER WITH " IN NAME}" |
2655 |
+ * as a single string literal. |
2656 |
+ * (However, that's not really important |
2657 |
+ * since Unicode character names never contain quotes.) |
2658 |
+ * |
2659 |
+ ************************************************************************************* |
2660 |
+ * |
2661 |
+ * This file is part of GeSHi. |
2662 |
+ * |
2663 |
+ * GeSHi is free software; you can redistribute it and/or modify |
2664 |
+ * it under the terms of the GNU General Public License as published by |
2665 |
+ * the Free Software Foundation; either version 2 of the License, or |
2666 |
+ * (at your option) any later version. |
2667 |
+ * |
2668 |
+ * GeSHi is distributed in the hope that it will be useful, |
2669 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
2670 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
2671 |
+ * GNU General Public License for more details. |
2672 |
+ * |
2673 |
+ * You should have received a copy of the GNU General Public License |
2674 |
+ * along with GeSHi; if not, write to the Free Software |
2675 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
2676 |
+ * |
2677 |
+ ************************************************************************************/ |
2678 |
+ |
2679 |
+$language_data = array ( |
2680 |
+ 'LANG_NAME' => 'Ceylon', |
2681 |
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#!'), |
2682 |
+ 'COMMENT_MULTI' => array('/*' => '*/'), |
2683 |
+ 'COMMENT_REGEXP' => array( |
2684 |
+ /* |
2685 |
+ * 1. regular line comments (see COMMENT_SINGLE) |
2686 |
+ * 2. shebang line comments (see COMMENT_SINGLE) |
2687 |
+ * 3. strings (including string templates) |
2688 |
+ */ |
2689 |
+ 3 => '/(?:"|``).*?(?:``|")/' |
2690 |
+ ), |
2691 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
2692 |
+ 'QUOTEMARKS' => array("'"), |
2693 |
+ 'ESCAPE_CHAR' => '\\', |
2694 |
+ 'KEYWORDS' => array( |
2695 |
+ /* |
2696 |
+ * 1. lexer keywords (class, else, etc.) |
2697 |
+ * 2. language modifiers (shared, formal, etc.) |
2698 |
+ * 3. language doc modifiers (doc, see, etc.) |
2699 |
+ */ |
2700 |
+ 1 => array( |
2701 |
+ 'assembly', 'module', 'package', 'import', |
2702 |
+ 'alias', 'class', 'interface', 'object', 'given', |
2703 |
+ 'value', 'assign', 'void', 'function', |
2704 |
+ 'new', 'of', 'extends', 'satisfies', 'abstracts', |
2705 |
+ 'in', 'out', |
2706 |
+ 'return', 'break', 'continue', 'throw', 'assert', |
2707 |
+ 'dynamic', |
2708 |
+ 'if', 'else', 'switch', 'case', |
2709 |
+ 'for', 'while', 'try', 'catch', 'finally', |
2710 |
+ 'then', 'let', |
2711 |
+ 'this', 'outer', 'super', |
2712 |
+ 'is', 'exists', 'nonempty' |
2713 |
+ ), |
2714 |
+ 2 => array( |
2715 |
+ 'shared', 'abstract', 'formal', 'default', 'actual', |
2716 |
+ 'variable', 'late', 'native', 'deprecated', |
2717 |
+ 'final', 'sealed', 'annotation', 'small' |
2718 |
+ ), |
2719 |
+ 3 => array( |
2720 |
+ 'doc', 'by', 'license', 'see', 'throws', 'tagged' |
2721 |
+ ) |
2722 |
+ ), |
2723 |
+ 'SYMBOLS' => array( |
2724 |
+ ',', ';', '...', '{', '}', '[', ']', '`', '?.', '*.', |
2725 |
+ '?', '->', '=>', |
2726 |
+ '**', '++', '--', '..', ':', '&&', '||', |
2727 |
+ '+=', '-=', '*=', '/=', '%=', '|=', '&=', '~=', '||=', '&&=', |
2728 |
+ '+', '-', '*', '/', '%', '^', |
2729 |
+ '~', '&', '|', '===', '==', '=', '!=', '!', |
2730 |
+ '<=>', '<=', '>=', |
2731 |
+ '<', '>', |
2732 |
+ '.' |
2733 |
+ ), |
2734 |
+ 'STYLES' => array( |
2735 |
+ 'KEYWORDS' => array( |
2736 |
+ 1 => 'font-weight:bold;color:#4C4C4C;', |
2737 |
+ 2 => 'color:#39C', |
2738 |
+ 3 => 'color:#39C' |
2739 |
+ ), |
2740 |
+ 'COMMENTS' => array( |
2741 |
+ 1 => 'color:darkgray;', |
2742 |
+ 2 => 'color:darkgray;', |
2743 |
+ 3 => 'color:blue', |
2744 |
+ 'MULTI' => 'color:darkgray;' |
2745 |
+ ), |
2746 |
+ 'STRINGS' => array( |
2747 |
+ 0 => 'color:blue;' |
2748 |
+ ), |
2749 |
+ 'REGEXPS' => array( |
2750 |
+ 1 => 'color:#639;', |
2751 |
+ 2 => 'color:#039;', |
2752 |
+ 3 => 'color:#906;' |
2753 |
+ ), |
2754 |
+ 'ESCAPE_CHAR' => array(), |
2755 |
+ 'BRACKETS' => array(), |
2756 |
+ 'NUMBERS' => array(), |
2757 |
+ 'METHODS' => array(), |
2758 |
+ 'SYMBOLS' => array(), |
2759 |
+ 'SCRIPT' => array() |
2760 |
+ ), |
2761 |
+ 'REGEXPS' => array( |
2762 |
+ /* |
2763 |
+ * 1. qualified lidentifiers |
2764 |
+ * 2. lidentifiers |
2765 |
+ * 3. uidentifiers |
2766 |
+ * |
2767 |
+ * All of these contain various lookahead and -behind to ensure |
2768 |
+ * that we don't match various stuff that GeSHi escapes |
2769 |
+ * (for instance, we see semicolons as <SEMI>). |
2770 |
+ */ |
2771 |
+ 1 => array( |
2772 |
+ GESHI_SEARCH => '\\b((\?|\*)?\.[[:space:]]*)([[:lower:]][[:alnum:]]*|\\\\i[[:alnum:]]*)\\b', |
2773 |
+ GESHI_REPLACE => '\\3', |
2774 |
+ GESHI_MODIFIERS => '', |
2775 |
+ GESHI_BEFORE => '\\1', |
2776 |
+ GESHI_AFTER => '' |
2777 |
+ ), |
2778 |
+ 2 => array( |
2779 |
+ GESHI_SEARCH => '(?<![|<>&![:alnum:]])([[:lower:]][[:alnum:]]*|\\\\i[[:alnum:]]*)(?![>[:alnum:]])', |
2780 |
+ GESHI_REPLACE => '\\1', |
2781 |
+ GESHI_MODIFIERS => '', |
2782 |
+ GESHI_BEFORE => '', |
2783 |
+ GESHI_AFTER => '' |
2784 |
+ ), |
2785 |
+ 3 => array( |
2786 |
+ GESHI_SEARCH => '(?<![|<>&![:alnum:]])([[:upper:]][[:alnum:]]*|\\\\I[[:alnum:]]*)(?![>[:alnum:]])', |
2787 |
+ GESHI_REPLACE => '\\1', |
2788 |
+ GESHI_MODIFIERS => '', |
2789 |
+ GESHI_BEFORE => '', |
2790 |
+ GESHI_AFTER => '' |
2791 |
+ ) |
2792 |
+ ), |
2793 |
+ 'OOLANG' => false, |
2794 |
+ 'OBJECT_SPLITTERS' => array(), |
2795 |
+ 'URLS' => array( |
2796 |
+ 1 => '', |
2797 |
+ 2 => '', 3 => '' // the real URLs are commented out because syntax highlighting breaks them |
2798 |
+// 2 => 'https://modules.ceylon-lang.org/repo/1/ceylon/language/1.1.0/module-doc/api/index.html#{FNAME}', |
2799 |
+// 3 => 'https://modules.ceylon-lang.org/repo/1/ceylon/language/1.1.0/module-doc/api/index.html#{FNAME}', |
2800 |
+ ), |
2801 |
+ 'CASE_SENSITIVE' => array(1 => true, 2 => true, 3 => true), |
2802 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
2803 |
+ 'SCRIPT_DELIMITERS' => array(), |
2804 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array() |
2805 |
+); |
2806 |
+ |
2807 |
+?> |
2808 |
|
2809 |
diff --git a/plugins/wp-syntax/geshi/geshi/cfdg.php b/plugins/wp-syntax/geshi/geshi/cfdg.php |
2810 |
index e40963f..788e056 100644 |
2811 |
--- a/plugins/wp-syntax/geshi/geshi/cfdg.php |
2812 |
+++ b/plugins/wp-syntax/geshi/geshi/cfdg.php |
2813 |
@@ -4,7 +4,7 @@ |
2814 |
* -------- |
2815 |
* Author: John Horigan <john@×××××××.com> |
2816 |
* Copyright: (c) 2006 John Horigan http://www.ozonehouse.com/john/ |
2817 |
- * Release Version: 1.0.8.11 |
2818 |
+ * Release Version: 1.0.8.12 |
2819 |
* Date Started: 2006/03/11 |
2820 |
* |
2821 |
* CFDG language file for GeSHi. |
2822 |
@@ -120,5 +120,3 @@ $language_data = array ( |
2823 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
2824 |
) |
2825 |
); |
2826 |
- |
2827 |
-?> |
2828 |
|
2829 |
diff --git a/plugins/wp-syntax/geshi/geshi/cfm.php b/plugins/wp-syntax/geshi/geshi/cfm.php |
2830 |
index 2d165bd..c045466 100644 |
2831 |
--- a/plugins/wp-syntax/geshi/geshi/cfm.php |
2832 |
+++ b/plugins/wp-syntax/geshi/geshi/cfm.php |
2833 |
@@ -4,7 +4,7 @@ |
2834 |
* ------- |
2835 |
* Author: Diego |
2836 |
* Copyright: (c) 2006 Diego |
2837 |
- * Release Version: 1.0.8.11 |
2838 |
+ * Release Version: 1.0.8.12 |
2839 |
* Date Started: 2006/02/25 |
2840 |
* |
2841 |
* ColdFusion language file for GeSHi. |
2842 |
@@ -295,5 +295,3 @@ $language_data = array ( |
2843 |
) |
2844 |
) |
2845 |
); |
2846 |
- |
2847 |
-?> |
2848 |
\ No newline at end of file |
2849 |
|
2850 |
diff --git a/plugins/wp-syntax/geshi/geshi/chaiscript.php b/plugins/wp-syntax/geshi/geshi/chaiscript.php |
2851 |
index f9d0a86..677f2af 100644 |
2852 |
--- a/plugins/wp-syntax/geshi/geshi/chaiscript.php |
2853 |
+++ b/plugins/wp-syntax/geshi/geshi/chaiscript.php |
2854 |
@@ -6,7 +6,7 @@ |
2855 |
* Copyright: (c) 2010 Jason Turner (lefticus@×××××.com), |
2856 |
* (c) 2009 Jonathan Turner, |
2857 |
* (c) 2004 Ben Keen (ben.keen@×××××.com), Benny Baumann (http://qbnz.com/highlighter) |
2858 |
- * Release Version: 1.0.8.11 |
2859 |
+ * Release Version: 1.0.8.12 |
2860 |
* Date Started: 2009/07/03 |
2861 |
* |
2862 |
* ChaiScript language file for GeSHi. |
2863 |
@@ -136,5 +136,3 @@ $language_data = array ( |
2864 |
1 => true |
2865 |
) |
2866 |
); |
2867 |
- |
2868 |
-?> |
2869 |
\ No newline at end of file |
2870 |
|
2871 |
diff --git a/plugins/wp-syntax/geshi/geshi/chapel.php b/plugins/wp-syntax/geshi/geshi/chapel.php |
2872 |
new file mode 100644 |
2873 |
index 0000000..d0e50e6 |
2874 |
--- /dev/null |
2875 |
+++ b/plugins/wp-syntax/geshi/geshi/chapel.php |
2876 |
@@ -0,0 +1,169 @@ |
2877 |
+<?php |
2878 |
+/************************************************************************************* |
2879 |
+ * chapel.php |
2880 |
+ * ----- |
2881 |
+ * Author: Richard Molitor (richard.molitor@×××××××××××.edu) |
2882 |
+ * Copyright: (c) 2013 Richard Molitor |
2883 |
+ * Release Version: 1.0.8.12 |
2884 |
+ * Date Started: 2013/06/22 |
2885 |
+ * |
2886 |
+ * Chapel language file for GeSHi. |
2887 |
+ * |
2888 |
+ * CHANGES |
2889 |
+ * ------- |
2890 |
+ * 2013/06/22 (1.0.8.12) |
2891 |
+ * - First Release |
2892 |
+ * |
2893 |
+ * TODO (updated 2013/06/22) |
2894 |
+ * ------------------------- |
2895 |
+ * |
2896 |
+ ************************************************************************************* |
2897 |
+ * |
2898 |
+ * This file is part of GeSHi. |
2899 |
+ * |
2900 |
+ * GeSHi is free software; you can redistribute it and/or modify |
2901 |
+ * it under the terms of the GNU General Public License as published by |
2902 |
+ * the Free Software Foundation; either version 2 of the License, or |
2903 |
+ * (at your option) any later version. |
2904 |
+ * |
2905 |
+ * GeSHi is distributed in the hope that it will be useful, |
2906 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
2907 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
2908 |
+ * GNU General Public License for more details. |
2909 |
+ * |
2910 |
+ * You should have received a copy of the GNU General Public License |
2911 |
+ * along with GeSHi; if not, write to the Free Software |
2912 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
2913 |
+ * |
2914 |
+ ************************************************************************************/ |
2915 |
+ |
2916 |
+$language_data = array ( |
2917 |
+ 'LANG_NAME' => 'Chapel', |
2918 |
+ 'COMMENT_SINGLE' => array(1 => '//'), |
2919 |
+ 'COMMENT_MULTI' => array('/*' => '*/'), |
2920 |
+ 'COMMENT_REGEXP' => array( |
2921 |
+ ), |
2922 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
2923 |
+ 'QUOTEMARKS' => array("'", '"'), |
2924 |
+ 'ESCAPE_CHAR' => '', |
2925 |
+ 'ESCAPE_REGEXP' => array( |
2926 |
+ ), |
2927 |
+ 'NUMBERS' => |
2928 |
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_INT_CSTYLE | GESHI_NUMBER_BIN_PREFIX_0B | |
2929 |
+ GESHI_NUMBER_HEX_PREFIX | GESHI_NUMBER_FLT_NONSCI | GESHI_NUMBER_FLT_NONSCI_F | |
2930 |
+ GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO, |
2931 |
+ 'KEYWORDS' => array( |
2932 |
+ // statements |
2933 |
+ 1 => array( |
2934 |
+ 'atomic', 'begin', 'break', 'class', 'cobegin', 'coforall', |
2935 |
+ 'continue', 'do', 'else', 'export', 'extern', 'for', 'forall', 'if', |
2936 |
+ 'iter', 'inline', 'label', 'let', 'local', 'module', |
2937 |
+ 'otherwise', 'proc', 'record', 'return', 'select', 'serial', |
2938 |
+ 'then', 'use', 'var', 'when', 'where', 'while', 'yield' |
2939 |
+ ), |
2940 |
+ // literals |
2941 |
+ 2 => array( |
2942 |
+ 'nil', 'true', 'false' |
2943 |
+ ), |
2944 |
+ // built-in functions |
2945 |
+ 3 => array( |
2946 |
+ 'by', 'delete', 'dmapped', 'domain', 'enum', 'index', 'min', |
2947 |
+ 'minloc', 'max', 'maxloc', 'new', 'range', 'reduce', 'scan', |
2948 |
+ 'sparse', 'subdomain', 'sync', 'union', 'zip' |
2949 |
+ ), |
2950 |
+ // built-in types |
2951 |
+ 4 => array( |
2952 |
+ 'config', 'const', 'in', 'inout', 'opaque', 'on', 'out', 'param', |
2953 |
+ 'ref', 'single', 'type' |
2954 |
+ ), |
2955 |
+ // library types |
2956 |
+ 5 => array( |
2957 |
+ 'void', 'bool', 'int', 'uint', 'real', 'imag', 'complex', 'string', |
2958 |
+ 'locale' |
2959 |
+ ), |
2960 |
+ ), |
2961 |
+ 'SYMBOLS' => array( |
2962 |
+ '(', ')', '{', '}', '[', ']', |
2963 |
+ '+', '-', '*', '/', '%', |
2964 |
+ '=', '<', '>', |
2965 |
+ '!', '^', '&', '|', |
2966 |
+ '?', ':', |
2967 |
+ ';', ',' |
2968 |
+ ), |
2969 |
+ 'CASE_SENSITIVE' => array( |
2970 |
+ GESHI_COMMENTS => false, |
2971 |
+ 1 => true, |
2972 |
+ 2 => true, |
2973 |
+ 3 => true, |
2974 |
+ 4 => true, |
2975 |
+ 5 => true |
2976 |
+ ), |
2977 |
+ 'STYLES' => array( |
2978 |
+ 'KEYWORDS' => array( |
2979 |
+ 1 => 'color: #b1b100;', |
2980 |
+ 2 => 'color: #000000; font-weight: bold;', |
2981 |
+ 3 => 'color: #000066;', |
2982 |
+ 4 => 'color: #993333;' |
2983 |
+ ), |
2984 |
+ 'COMMENTS' => array( |
2985 |
+ 1 => 'color: #666666; font-style: italic;', |
2986 |
+ //2 => 'color: #339933;', |
2987 |
+ 'MULTI' => 'color: #808080; font-style: italic;' |
2988 |
+ ), |
2989 |
+ 'ESCAPE_CHAR' => array( |
2990 |
+ 0 => 'color: #000099; font-weight: bold;', |
2991 |
+ 1 => 'color: #000099; font-weight: bold;', |
2992 |
+ 2 => 'color: #660099; font-weight: bold;', |
2993 |
+ 3 => 'color: #660099; font-weight: bold;', |
2994 |
+ 4 => 'color: #660099; font-weight: bold;', |
2995 |
+ 5 => 'color: #006699; font-weight: bold;', |
2996 |
+ 'HARD' => '', |
2997 |
+ ), |
2998 |
+ 'BRACKETS' => array( |
2999 |
+ 0 => 'color: #009900;' |
3000 |
+ ), |
3001 |
+ 'STRINGS' => array( |
3002 |
+ 0 => 'color: #ff0000;' |
3003 |
+ ), |
3004 |
+ 'NUMBERS' => array( |
3005 |
+ 0 => 'color: #0000dd;', |
3006 |
+ GESHI_NUMBER_BIN_PREFIX_0B => 'color: #208080;', |
3007 |
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;', |
3008 |
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;', |
3009 |
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;', |
3010 |
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;', |
3011 |
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;', |
3012 |
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;' |
3013 |
+ ), |
3014 |
+ 'METHODS' => array( |
3015 |
+ 1 => 'color: #202020;', |
3016 |
+ 2 => 'color: #202020;' |
3017 |
+ ), |
3018 |
+ 'SYMBOLS' => array( |
3019 |
+ 0 => 'color: #339933;' |
3020 |
+ ), |
3021 |
+ 'REGEXPS' => array( |
3022 |
+ ), |
3023 |
+ 'SCRIPT' => array( |
3024 |
+ ) |
3025 |
+ ), |
3026 |
+ 'URLS' => array( |
3027 |
+ 1 => '', |
3028 |
+ 2 => '', |
3029 |
+ 3 => '', |
3030 |
+ 4 => '', |
3031 |
+ 5 => '' |
3032 |
+ ), |
3033 |
+ 'OOLANG' => true, |
3034 |
+ 'OBJECT_SPLITTERS' => array( |
3035 |
+ 1 => '.', |
3036 |
+ ), |
3037 |
+ 'REGEXPS' => array( |
3038 |
+ ), |
3039 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
3040 |
+ 'SCRIPT_DELIMITERS' => array( |
3041 |
+ ), |
3042 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
3043 |
+ ), |
3044 |
+ 'TAB_WIDTH' => 4 |
3045 |
+); |
3046 |
|
3047 |
diff --git a/plugins/wp-syntax/geshi/geshi/cil.php b/plugins/wp-syntax/geshi/geshi/cil.php |
3048 |
index 9872e75..c1fe8a9 100644 |
3049 |
--- a/plugins/wp-syntax/geshi/geshi/cil.php |
3050 |
+++ b/plugins/wp-syntax/geshi/geshi/cil.php |
3051 |
@@ -4,7 +4,7 @@ |
3052 |
* -------- |
3053 |
* Author: Marcus Griep (neoeinstein+GeSHi@×××××.com) |
3054 |
* Copyright: (c) 2007 Marcus Griep (http://www.xpdm.us) |
3055 |
- * Release Version: 1.0.8.11 |
3056 |
+ * Release Version: 1.0.8.12 |
3057 |
* Date Started: 2007/10/24 |
3058 |
* |
3059 |
* CIL (Common Intermediate Language) language file for GeSHi. |
3060 |
@@ -192,5 +192,3 @@ $language_data = array ( |
3061 |
), |
3062 |
'TAB_WIDTH' => 4 |
3063 |
); |
3064 |
- |
3065 |
-?> |
3066 |
|
3067 |
diff --git a/plugins/wp-syntax/geshi/geshi/clojure.php b/plugins/wp-syntax/geshi/geshi/clojure.php |
3068 |
index 0ad4e4a..0b9ed44 100644 |
3069 |
--- a/plugins/wp-syntax/geshi/geshi/clojure.php |
3070 |
+++ b/plugins/wp-syntax/geshi/geshi/clojure.php |
3071 |
@@ -4,7 +4,7 @@ |
3072 |
* -------- |
3073 |
* Author: Jess Johnson (jess@×××××××××.com) |
3074 |
* Copyright: (c) 2009 Jess Johnson (http://grok-code.com) |
3075 |
- * Release Version: 1.0.8.11 |
3076 |
+ * Release Version: 1.0.8.12 |
3077 |
* Date Started: 2009/09/20 |
3078 |
* |
3079 |
* Clojure language file for GeSHi. |
3080 |
@@ -130,5 +130,3 @@ $language_data = array ( |
3081 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
3082 |
) |
3083 |
); |
3084 |
- |
3085 |
-?> |
3086 |
\ No newline at end of file |
3087 |
|
3088 |
diff --git a/plugins/wp-syntax/geshi/geshi/cmake.php b/plugins/wp-syntax/geshi/geshi/cmake.php |
3089 |
index 67277aa..2155216 100644 |
3090 |
--- a/plugins/wp-syntax/geshi/geshi/cmake.php |
3091 |
+++ b/plugins/wp-syntax/geshi/geshi/cmake.php |
3092 |
@@ -4,7 +4,7 @@ |
3093 |
* ------- |
3094 |
* Author: Daniel Nelson (danieln@××××××××.edu) |
3095 |
* Copyright: (c) 2009 Daniel Nelson |
3096 |
- * Release Version: 1.0.8.11 |
3097 |
+ * Release Version: 1.0.8.12 |
3098 |
* Date Started: 2009/04/06 |
3099 |
* |
3100 |
* CMake language file for GeSHi. |
3101 |
@@ -177,5 +177,3 @@ $language_data = array ( |
3102 |
) |
3103 |
) |
3104 |
); |
3105 |
- |
3106 |
-?> |
3107 |
\ No newline at end of file |
3108 |
|
3109 |
diff --git a/plugins/wp-syntax/geshi/geshi/cobol.php b/plugins/wp-syntax/geshi/geshi/cobol.php |
3110 |
index b07be48..1280a4c 100644 |
3111 |
--- a/plugins/wp-syntax/geshi/geshi/cobol.php |
3112 |
+++ b/plugins/wp-syntax/geshi/geshi/cobol.php |
3113 |
@@ -4,15 +4,33 @@ |
3114 |
* ---------- |
3115 |
* Author: BenBE (BenBE@××××××××.org) |
3116 |
* Copyright: (c) 2007-2008 BenBE (http://www.omorphia.de/) |
3117 |
- * Release Version: 1.0.8.11 |
3118 |
+ * Release Version: 1.0.8.12 |
3119 |
* Date Started: 2007/07/02 |
3120 |
* |
3121 |
* COBOL language file for GeSHi. |
3122 |
* |
3123 |
+ * Most of the compiler directives, reserved words and intrinsic functions are |
3124 |
+ * from the 2009 COBOL Draft Standard, Micro Focus, and GNU Cobol. The lists of |
3125 |
+ * these were found in the draft standard (Sections 8.9, 8.10, 8.11 and 8.12), |
3126 |
+ * Micro Focus' COBOL Language Reference and the GNU Cobol FAQ. |
3127 |
+ * |
3128 |
* CHANGES |
3129 |
* ------- |
3130 |
+ * 2013/11/17 (1.0.8.12) |
3131 |
+ * - Changed compiler directives to be handled like comments. |
3132 |
+ * - Fixed bug where keywords in identifiers were highlighted. |
3133 |
+ * 2013/08/19 (1.0.8.12) |
3134 |
+ * - Added more intrinsic functions, reserved words, and compiler directives |
3135 |
+ * from the (upcoming) standard. |
3136 |
+ * 2013/07/07 (1.0.8.12) |
3137 |
+ * - Added more reserved words, compiler directives and intrinsic functions. |
3138 |
+ * - Added modern comment syntax and corrected the other one. |
3139 |
+ * - Set OOLANG to true and added an object splitter. |
3140 |
+ * - Added extra symbols. |
3141 |
+ * - Fixed bug where scope terminators were only the statement in |
3142 |
+ * end-statement was highlighted. |
3143 |
* |
3144 |
- * TODO (updated 2007/07/02) |
3145 |
+ * TODO (updated 2013/11/17) |
3146 |
* ------------------------- |
3147 |
* |
3148 |
************************************************************************************* |
3149 |
@@ -37,145 +55,340 @@ |
3150 |
|
3151 |
$language_data = array ( |
3152 |
'LANG_NAME' => 'COBOL', |
3153 |
- 'COMMENT_SINGLE' => array(), |
3154 |
+ 'COMMENT_SINGLE' => array( |
3155 |
+ 1 => '*>', // COBOL 2002 inline comment |
3156 |
+ 2 => '>>' // COBOL compiler directive indicator |
3157 |
+ ), |
3158 |
'COMMENT_MULTI' => array(), |
3159 |
- 'COMMENT_REGEXP' => array(1 => '/^\*.*?$/m'), |
3160 |
+ 'COMMENT_REGEXP' => array( |
3161 |
+ 1 => '/^......(\*.*?$)/m', // Fixed-form comment |
3162 |
+ 2 => '/\$SET.*/i' // MF compiler directive indicator |
3163 |
+ ), |
3164 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
3165 |
'QUOTEMARKS' => array('"', "'"), |
3166 |
- 'ESCAPE_CHAR' => '\\', |
3167 |
+ 'ESCAPE_CHAR' => '', |
3168 |
'NUMBERS' => |
3169 |
GESHI_NUMBER_INT_BASIC | |
3170 |
GESHI_NUMBER_FLT_NONSCI | |
3171 |
GESHI_NUMBER_FLT_SCI_SHORT | |
3172 |
GESHI_NUMBER_FLT_SCI_ZERO, |
3173 |
'KEYWORDS' => array( |
3174 |
- 1 => array( //Compiler Directives |
3175 |
- 'ANSI', 'BLANK', 'NOBLANK', 'CALL-SHARED', 'CANCEL', 'NOCANCEL', |
3176 |
- 'CHECK', 'CODE', 'NOCODE', 'COLUMNS', 'COMPACT', 'NOCOMPACT', |
3177 |
- 'COMPILE', 'CONSULT', 'NOCONSULT', 'CROSSREF', 'NOCROSSREF', |
3178 |
- 'DIAGNOSE-74', 'NODIAGNOSE-74', 'DIAGNOSE-85', 'NODIAGNOSE-85', |
3179 |
- 'DIAGNOSEALL', 'NODIAGNOSEALL', 'ENDIF', 'ENDUNIT', 'ENV', |
3180 |
- 'ERRORFILE', 'ERRORS', 'FIPS', 'NOFIPS', 'FMAP', 'HEADING', 'HEAP', |
3181 |
- 'HIGHPIN', 'HIGHREQUESTERS', 'ICODE', 'NOICODE', 'IF', 'IFNOT', |
3182 |
- 'INNERLIST', 'NOINNERLIST', 'INSPECT', 'NOINSPECT', 'LARGEDATA', |
3183 |
- 'LD', 'LESS-CODE', 'LIBRARY', 'LINES', 'LIST', 'NOLIST', 'LMAP', |
3184 |
- 'NOLMAP', 'MAIN', 'MAP', 'NOMAP', 'NLD', 'NONSTOP', 'NON-SHARED', |
3185 |
- 'OPTIMIZE', 'PERFORM-TRACE', 'PORT', 'NOPORT', 'RESETTOG', |
3186 |
- 'RUNNABLE', 'RUNNAMED', 'SAVE', 'SAVEABEND', 'NOSAVEABEND', |
3187 |
- 'SEARCH', 'NOSEARCH', 'SECTION', 'SETTOG', 'SHARED', 'SHOWCOPY', |
3188 |
- 'NOSHOWCOPY', 'SHOWFILE', 'NOSHOWFILE', 'SOURCE', 'SQL', 'NOSQL', |
3189 |
- 'SQLMEM', 'SUBSET', 'SUBTYPE', 'SUPPRESS', 'NOSUPPRESS', 'SYMBOLS', |
3190 |
- 'NOSYMBOLS', 'SYNTAX', 'TANDEM', 'TRAP2', 'NOTRAP2', 'TRAP2-74', |
3191 |
- 'NOTRAP2-74', 'UL', 'WARN', 'NOWARN' |
3192 |
+ // Statements containing spaces. These are separate to other statements |
3193 |
+ // so that they are highlighted correctly. |
3194 |
+ 1 => array( |
3195 |
+ 'DELETE FILE', 'GO TO', 'NEXT SENTENCE', 'XML GENERATE', |
3196 |
+ 'XML PARSE' |
3197 |
), |
3198 |
- 2 => array( //Statement Keywords |
3199 |
- 'ACCEPT', 'ADD', 'TO', 'GIVING', 'CORRESPONDING', 'ALTER', 'CALL', |
3200 |
- 'CHECKPOINT', 'CLOSE', 'COMPUTE', 'CONTINUE', 'COPY', |
3201 |
- 'DELETE', 'DISPLAY', 'DIVIDE', 'INTO', 'REMAINDER', 'ENTER', |
3202 |
- 'COBOL', 'EVALUATE', 'EXIT', 'GO', 'INITIALIZE', |
3203 |
- 'TALLYING', 'REPLACING', 'CONVERTING', 'LOCKFILE', 'MERGE', 'MOVE', |
3204 |
- 'MULTIPLY', 'OPEN', 'PERFORM', 'TIMES', |
3205 |
- 'UNTIL', 'VARYING', 'RETURN', |
3206 |
+ |
3207 |
+ 2 => array( // Other Reserved Words |
3208 |
+ '3-D', 'ABSENT', 'ABSTRACT', 'ACCESS', 'ACQUIRE', |
3209 |
+ 'ACTION', 'ACTIVE-CLASS', 'ACTIVE-X', 'ACTUAL', 'ADDRESS', |
3210 |
+ 'ADDRESS-ARRAY', 'ADDRESS-OFFSET', 'ADJUSTABLE-COLUMNS', |
3211 |
+ 'ADVANCING', 'AFP-5A', 'AFTER', 'ALIGNED', 'ALIGNMENT', 'ALL', |
3212 |
+ 'ALLOW', 'ALLOWING', 'ALPHABET', 'ALPHABETIC', |
3213 |
+ 'ALPHABETIC-LOWER', 'ALPHABETIC-UPPER', 'ALPHANUMERIC', |
3214 |
+ 'ALPHANUMERIC-EDITED', 'ALSO', 'ALTERNATE', 'AND', 'ANY', |
3215 |
+ 'ANYCASE', |
3216 |
+ 'APPLY', 'ARE', 'AREA', 'AREAS', 'ARGUMENT-NUMBER', |
3217 |
+ 'ARGUMENT-VALUE', |
3218 |
+ 'ARITHMETIC', 'AS', 'ASCENDING', |
3219 |
+ 'ASSEMBLY-ATTRIBUTES', 'ASSIGN', 'AT', 'ATTRIBUTE', 'AUTHOR', |
3220 |
+ 'AUTO', 'AUTO-DECIMAL', 'AUTO-HYPHEN-SKIP', 'AUTO-MINIMIZE', |
3221 |
+ 'AUTO-RESIZE', 'AUTO-SKIP', 'AUTO-SPIN', 'AUTOMATIC', |
3222 |
+ 'AUTOTERMINATE', 'AWAY-FROM-ZERO', |
3223 |
+ 'AX-EVENT-LIST', 'B-AND', 'B-EXOR', 'B-LEFT', |
3224 |
+ 'B-NOT', 'B-OR', 'B-RIGHT', 'B-XOR', 'BACKGROUND-COLOR', |
3225 |
+ 'BACKGROUND-COLOUR', 'BACKGROUND-HIGH', 'BACKGROUND-LOW', |
3226 |
+ 'BACKGROUND-STANDARD', 'BACKWARD', 'BAR', 'BASED', 'BASIS', 'BEEP', |
3227 |
+ 'BEFORE', 'BEGINNING', 'BELL', 'BINARY', 'BINARY-CHAR', |
3228 |
+ 'BINARY-DOUBLE', 'BINARY-LONG', 'BINARY-SHORT', 'BIND', 'BIT', |
3229 |
+ 'BITMAP', 'BITMAP-END', 'BITMAP-HANDLE', 'BITMAP-NUMBER', |
3230 |
+ 'BITMAP-RAW-HEIGHT', 'BITMAP-RAW-WIDTH', 'BITMAP-SCALE', |
3231 |
+ 'BITMAP-START', 'BITMAP-TIMER', 'BITMAP-TRAILING', 'BITMAP-WIDTH', |
3232 |
+ 'BLANK', 'BLINK', 'BLINKING', 'BLOB', 'BLOB-FILE', 'BLOB-LOCATOR', |
3233 |
+ 'BLOCK', 'BOLD', 'BOOLEAN', 'BOTTOM', 'BOX', 'BOXED', 'BROWSING', |
3234 |
+ 'BUSY', 'BUTTONS', 'BY', 'C01', 'C02', 'C03', 'C04', |
3235 |
+ 'C05', |
3236 |
+ 'C06', 'C07', 'C08', 'C09', 'C10', 'C11', 'C12', 'CALENDAR-FONT', |
3237 |
+ 'CALLED', 'CANCEL-BUTTON', 'CAPACITY', 'CATCH', 'CBL', |
3238 |
+ 'CBL-CTR', 'CCOL', 'CD', 'CELL', 'CELL-COLOR', 'CELL-DATA', |
3239 |
+ 'CELL-FONT', 'CELL-PROTECTION', 'CELLS', 'CENTER', 'CENTERED', |
3240 |
+ 'CENTERED-HEADINGS', 'CENTURY-DATE', 'CENTURY-DAY', 'CF', 'CH', |
3241 |
+ 'CHAINING', 'CHANGED', 'CHAR-VARYING', |
3242 |
+ 'CHARACTER', |
3243 |
+ 'CHARACTERS', 'CHART', 'CHECK-BOX', 'CHECKING', 'CLASS', |
3244 |
+ 'CLASS-ATTRIBUTES', 'CLASS-CONTROL', 'CLASS-ID', 'CLASS-OBJECT', |
3245 |
+ 'CLASSIFICATION', |
3246 |
+ 'CLEAR-SELECTION', 'CLINE', 'CLINES', 'CLOB', 'CLOB-FILE', |
3247 |
+ 'CLOB-LOCATOR', 'CLOCK-UNITS', 'COBOL', 'CODE', 'CODE-SET', |
3248 |
+ 'COERCION', 'COL', 'COLLATING', 'COLORS', 'COLOUR', |
3249 |
+ 'COLOURS', 'COLS', 'COLUMN', 'COLUMN-COLOR', 'COLUMN-DIVIDERS', |
3250 |
+ 'COLUMN-FONT', 'COLUMN-HEADINGS', 'COLUMN-PROTECTION', 'COLUMNS', |
3251 |
+ 'COM-REG', 'COMBO-BOX', 'COMMA', 'COMMITMENT', 'COMMON', |
3252 |
+ 'COMMUNICATION', 'COMP', 'COMP-0', 'COMP-1', 'COMP-2', 'COMP-3', |
3253 |
+ 'COMP-4', 'COMP-5', 'COMP-6', 'COMP-X', 'COMPRESSION', |
3254 |
+ 'COMPUTATIONAL', 'COMPUTATIONAL-0', 'COMPUTATIONAL-1', |
3255 |
+ 'COMPUTATIONAL-2', 'COMPUTATIONAL-3', 'COMPUTATIONAL-4', |
3256 |
+ 'COMPUTATIONAL-5', 'COMPUTATIONAL-6', 'COMPUTATIONAL-X', |
3257 |
+ 'CONDITION-VALUE', 'CONFIGURATION', 'CONSOLE', 'CONSTANT', |
3258 |
+ 'CONSTRAIN', 'CONSTRAINTS', 'CONTAINS', 'CONTENT', |
3259 |
+ 'CONTROL', 'CONTROL-AREA', 'CONTROLS', 'CONTROLS-UNCROPPED', |
3260 |
+ 'CONVERSION', 'CONVERT', 'CONVERTING', 'COPY-SELECTION', |
3261 |
+ 'CORE-INDEX', 'CORR', 'CORRESPONDING', 'COUNT', |
3262 |
+ 'CREATING', 'CRT', 'CRT-UNDER', 'CSIZE', 'CSP', 'CURRENCY', |
3263 |
+ 'CURSOR', 'CURSOR-COL', 'CURSOR-COLOR', |
3264 |
+ 'CURSOR-FRAME-WIDTH', 'CURSOR-ROW', 'CURSOR-X', 'CURSOR-Y', |
3265 |
+ 'CUSTOM-ATTRIBUTE', 'CUSTOM-PRINT-TEMPLATE', 'CYCLE', 'CYL-INDEX', |
3266 |
+ 'CYL-OVERFLOW', 'DASHED', 'DATA', 'DATA-COLUMNS', |
3267 |
+ 'DATA-POINTER', 'DATA-TYPES', 'DATABASE-KEY', 'DATABASE-KEY-LONG', |
3268 |
+ 'DATE', 'DATE-COMPILED', 'DATE-ENTRY', 'DATE-RECORD', |
3269 |
+ 'DATE-WRITTEN', 'DAY', 'DAY-OF-WEEK', 'DBCLOB', 'DBCLOB-FILE', |
3270 |
+ 'DBCLOB-LOCATOR', 'DBCS', 'DE', 'DEBUG', 'DEBUG-CONTENTS', |
3271 |
+ 'DEBUG-ITEM', 'DEBUG-LINE', 'DEBUG-NAME', 'DEBUG-SUB-1', |
3272 |
+ 'DEBUG-SUB-2', 'DEBUG-SUB-3', 'DEBUGGING', 'DECIMAL', |
3273 |
+ 'DECIMAL-POINT', 'DECLARATIVES', 'DEFAULT', |
3274 |
+ 'DEFAULT-BUTTON', 'DEFAULT-FONT', 'DEFINITION', |
3275 |
+ 'DELEGATE-ID', 'DELIMITED', 'DELIMITER', 'DEPENDING', |
3276 |
+ 'DESCENDING', 'DESTINATION', 'DESTROY', 'DETAIL', 'DICTIONARY', |
3277 |
+ 'DISABLE', 'DISC', 'DISJOINING', 'DISK', 'DISP', |
3278 |
+ 'DISPLAY-1', 'DISPLAY-COLUMNS', 'DISPLAY-FORMAT', 'DISPLAY-ST', |
3279 |
+ 'DIVIDER-COLOR', 'DIVIDERS', 'DIVISION', 'DOT-DASH', |
3280 |
+ 'DOTTED', 'DOWN', 'DRAG-COLOR', 'DRAW', 'DROP', 'DROP-DOWN', |
3281 |
+ 'DROP-LIST', 'DUPLICATES', 'DYNAMIC', 'EBCDIC', 'EC', 'ECHO', 'EGCS', |
3282 |
+ 'EGI', 'EJECT', 'ELEMENTARY', 'ELSE', 'EMI', 'EMPTY-CHECK', |
3283 |
+ 'ENABLE', 'ENABLED', 'END', 'END-ACCEPT', 'END-ADD', 'END-CALL', |
3284 |
+ 'END-CHAIN', 'END-COLOR', 'END-COMPUTE', 'END-DELEGATE', |
3285 |
+ 'END-DELETE', 'END-DISPLAY', 'END-DIVIDE', 'END-EVALUATE', |
3286 |
+ 'END-IF', 'END-INVOKE', 'END-MODIFY', 'END-MOVE', 'END-MULTIPLY', |
3287 |
+ 'END-OF-PAGE', 'END-PERFORM', 'END-READ', 'END-RECEIVE', |
3288 |
+ 'END-RETURN', 'END-REWRITE', 'END-SEARCH', 'END-START', |
3289 |
+ 'END-STRING', 'END-SUBTRACT', 'END-SYNC', 'END-TRY', |
3290 |
+ 'END-UNSTRING', 'END-WAIT', 'END-WRITE', 'END-XML', 'ENDING', |
3291 |
+ 'ENGRAVED', 'ENSURE-VISIBLE', 'ENTRY-CONVENTION', |
3292 |
+ 'ENTRY-FIELD', |
3293 |
+ 'ENTRY-REASON', 'ENUM', 'ENUM-ID', 'ENVIRONMENT', |
3294 |
+ 'ENVIRONMENT-NAME', 'ENVIRONMENT-VALUE', 'EOL', 'EOP', |
3295 |
+ 'EOS', 'EQUAL', 'EQUALS', 'ERASE', 'ERROR', 'ESCAPE', |
3296 |
+ 'ESCAPE-BUTTON', 'ESI', 'EVENT', 'EVENT-LIST', |
3297 |
+ 'EVENT-POINTER', 'EVERY', 'EXCEEDS', 'EXCEPTION', |
3298 |
+ 'EXCEPTION-OBJECT', 'EXCEPTION-VALUE', 'EXCESS-3', |
3299 |
+ 'EXCLUDE-EVENT-LIST', 'EXCLUSIVE', |
3300 |
+ 'EXPAND', 'EXPANDS', 'EXTEND', 'EXTENDED', |
3301 |
+ 'EXTENDED-SEARCH', 'EXTENSION', 'EXTERNAL', 'EXTERNAL-FORM', |
3302 |
+ 'EXTERNALLY-DESCRIBED-KEY', 'FACTORY', 'FALSE', 'FD', |
3303 |
+ 'FH--FCD', 'FH--KEYDEF', 'FILE', 'FILE-CONTROL', 'FILE-ID', |
3304 |
+ 'FILE-LIMIT', 'FILE-LIMITS', 'FILE-NAME', 'FILE-POS', 'FILL-COLOR', |
3305 |
+ 'FILL-COLOR2', 'FILL-PERCENT', 'FILLER', 'FINAL', 'FINALLY', |
3306 |
+ 'FINISH-REASON', 'FIRST', 'FIXED', 'FIXED-FONT', 'FIXED-WIDTH', |
3307 |
+ 'FLAT', 'FLAT-BUTTONS', 'FLOAT-BINARY-7', 'FLOAT-BINARY-16', |
3308 |
+ 'FLOAT-BINARY-34', 'FLOAT-DECIMAL-16', 'FLOAT-DECIMAL-34', |
3309 |
+ 'FLOAT-EXTENDED', 'FLOAT-LONG', |
3310 |
+ 'FLOAT-SHORT', 'FLOATING', 'FONT', 'FOOTING', 'FOR', |
3311 |
+ 'FOREGROUND-COLOR', 'FOREGROUND-COLOUR', 'FOREVER', 'FORMAT', |
3312 |
+ 'FRAME', 'FRAMED', 'FROM', 'FULL', 'FULL-HEIGHT', |
3313 |
+ 'FUNCTION', 'FUNCTION-ID', 'FUNCTION-POINTER', 'GENERATE', |
3314 |
+ 'GET', 'GETTER', 'GIVING', 'GLOBAL', 'GO-BACK', 'GO-FORWARD', |
3315 |
+ 'GO-HOME', 'GO-SEARCH', 'GRAPHICAL', 'GREATER', 'GRID', |
3316 |
+ 'GRIP', 'GROUP', 'GROUP-USAGE', 'GROUP-VALUE', 'HANDLE', |
3317 |
+ 'HAS-CHILDREN', 'HEADING', 'HEADING-COLOR', 'HEADING-DIVIDER-COLOR', |
3318 |
+ 'HEADING-FONT', 'HEAVY', 'HEIGHT', 'HEIGHT-IN-CELLS', 'HELP-ID', |
3319 |
+ 'HIDDEN-DATA', 'HIGH', 'HIGH-COLOR', 'HIGH-VALUE', 'HIGH-VALUES', |
3320 |
+ 'HIGHLIGHT', 'HORIZONTAL', 'HOT-TRACK', 'HSCROLL', 'HSCROLL-POS', |
3321 |
+ 'I-O', 'I-O-CONTROL', 'ICON', 'ID', 'IDENTIFICATION', |
3322 |
+ 'IDENTIFIED', 'IFINITY', 'IGNORE', 'IGNORING', 'IMPLEMENTS', 'IN', |
3323 |
+ 'INDEPENDENT', 'INDEX', 'INDEXED', 'INDEXER', 'INDEXER-ID', 'INDIC', |
3324 |
+ 'INDICATE', 'INDICATOR', 'INDICATORS', 'INDIRECT', |
3325 |
+ 'INHERITING', 'INHERITS', |
3326 |
+ 'INITIAL', 'INITIALIZED', 'INPUT', |
3327 |
+ 'INPUT-OUTPUT', 'INQUIRE', 'INSERT', 'INSERT-ROWS', |
3328 |
+ 'INSERTION-INDEX', 'INSTALLATION', 'INSTANCE', |
3329 |
+ 'INTERFACE', 'INTERFACE-ID', 'INTERMEDIATE', |
3330 |
+ 'INTERNAL', 'INTO', 'INTRINSIC', |
3331 |
+ 'INVALID', 'INVOKED', 'IS', 'ITEM', 'ITEM-BOLD', |
3332 |
+ 'ITEM-ID', 'ITEM-TEXT', 'ITEM-TO-ADD', 'ITEM-TO-DELETE', |
3333 |
+ 'ITEM-TO-EMPTY', 'ITEM-VALUE', 'ITERATOR', 'ITERATOR-ID', 'J', |
3334 |
+ 'JOINED', 'JOINING', 'JUST', 'JUSTIFIED', 'KANJI', |
3335 |
+ 'KEPT', 'KEY', 'KEY-YY', 'KEYBOARD', 'LABEL', 'LABEL-OFFSET', |
3336 |
+ 'LARGE-FONT', 'LAST', 'LAST-ROW', 'LAYOUT-DATA', 'LAYOUT-MANAGER', |
3337 |
+ 'LC_ALL', 'LC_COLLATE', 'LC_CTYPE', 'LC_CURRENCY', 'LC_MESSAGES', |
3338 |
+ 'LC_MONETARY', 'LC_NUMERIC', 'LC_TIME', 'LEADING', 'LEADING-SHIFT', |
3339 |
+ 'LEAVE', 'LEFT', 'LEFT-JUSTIFY', 'LEFT-TEXT', 'LEFTLINE', |
3340 |
+ 'LENGTH-CHECK', 'LESS', 'LIMIT', 'LIMITS', 'LIN', 'LINAGE', |
3341 |
+ 'LINAGE-COUNTER', 'LINE', 'LINE-COUNTER', 'LINES', 'LINES-AT-ROOT', |
3342 |
+ 'LINK', 'LINKAGE', 'LIST', 'LIST-BOX', 'LM-RESIZE', 'LOCAL-STORAGE', |
3343 |
+ 'LOCALE', 'LOCK', 'LOCKING', 'LONG-DATE', 'LONG-VARBINARY', |
3344 |
+ 'LONG-VARCHAR', 'LOW', 'LOW-COLOR', 'LOW-VALUE', 'LOW-VALUES', |
3345 |
+ 'LOWER', 'LOWERED', 'LOWLIGHT', 'MANUAL', 'MASS-UPDATE', |
3346 |
+ 'MASTER-INDEX', 'MAX-HEIGHT', 'MAX-LINES', 'MAX-PROGRESS', |
3347 |
+ 'MAX-SIZE', 'MAX-TEXT', 'MAX-VAL', 'MAX-WIDTH', 'MDI-CHILD', |
3348 |
+ 'MDI-FRAME', 'MEDIUM-FONT', 'MEMORY', 'MENU', 'MESSAGE', |
3349 |
+ 'MESSAGES', 'METACLASS', 'METHOD', 'METHOD-ID', 'MIN-HEIGHT', |
3350 |
+ 'MIN-LINES', 'MIN-SIZE', 'MIN-VAL', 'MIN-WIDTH', 'MODAL', 'MODE', |
3351 |
+ 'MODELESS', 'MODIFIED', 'MODULES', 'MONITOR-POINTER', |
3352 |
+ 'MORE-LABELS', 'MULTILINE', |
3353 |
+ 'MUTEX-POINTER', 'NAME', 'NAMED', 'NATIONAL', |
3354 |
+ 'NATIONAL-EDITED', 'NATIVE', 'NAVIGATE-URL', 'NCHAR', |
3355 |
+ 'NEAREST-AWAY-FROM-ZERO', 'NEAREST-EVEN', 'NEAREST-TOWARD-ZERO', |
3356 |
+ 'NEGATIVE', 'NEGATIVE-INFINITY', |
3357 |
+ 'NESTED', 'NET-EVENT-LIST', 'NEW', 'NEWABLE', 'NEXT ', 'NEXT-ITEM', |
3358 |
+ 'NO', 'NO-AUTO-DEFAULT', 'NO-AUTOSEL', 'NO-BOX', 'NO-CELL-DRAG', |
3359 |
+ 'NO-CLOSE', 'NO-DIVIDERS', 'NO-ECHO', 'NO-F4', 'NO-FOCUS', |
3360 |
+ 'NO-GROUP-TAB', 'NO-KEY-LETTER', 'NO-SEARCH', 'NO-TAB', 'NO-UPDOWN', |
3361 |
+ 'NOMINAL', 'NONE', 'NORMAL', 'NOT', 'NOT-A-NUMBER', 'NOTIFY', |
3362 |
+ 'NOTIFY-CHANGE', 'NOTIFY-DBLCLICK', 'NOTIFY-SELCHANGE', |
3363 |
+ 'NSTD-REELS', 'NULL', 'NULLS', 'NUM-COL-HEADINGS', |
3364 |
+ 'NUM-ROW-HEADINGS', 'NUM-ROWS', 'NUMBER', 'NUMBERS', 'NUMERIC', |
3365 |
+ 'NUMERIC-EDITED', 'NUMERIC-FILL', 'O-FILL', 'OBJECT', |
3366 |
+ 'OBJECT-COMPUTER', 'OBJECT-ID', 'OBJECT-REFERENCE', |
3367 |
+ 'OBJECT-STORAGE', 'OCCURS', 'OF', 'OFF', 'OK-BUTTON', 'OMITTED', |
3368 |
+ 'ONLY', 'OOSTACKPTR', 'OPERATOR', 'OPERATOR-ID', |
3369 |
+ 'OPTIONAL', 'OPTIONS', 'OR', 'ORDER', 'ORGANIZATION', 'OTHER', |
3370 |
+ 'OTHERWISE', 'OUTPUT', 'OVERFLOW', 'OVERLAP-LEFT', 'OVERLAP-TOP', |
3371 |
+ 'OVERLAPPED', 'OVERLINE', 'OVERRIDE', 'PACKED-DECIMAL', |
3372 |
+ 'PADDING', 'PAGE', 'PAGE-COUNTER', 'PAGE-SETUP', 'PAGE-SIZE', |
3373 |
+ 'PAGED', 'PANEL-INDEX', 'PANEL-STYLE', 'PANEL-TEXT', 'PANEL-WIDTHS', |
3374 |
+ 'PARAGRAPH', 'PARAMS', 'PARENT', 'PARSE', 'PARTIAL', 'PASSWORD', |
3375 |
+ 'PERMANENT', 'PF', 'PH', 'PIC', 'PICTURE', 'PIXEL', |
3376 |
+ 'PIXELS', 'PLACEMENT', 'PLUS', 'POINTER', 'POP-UP', 'POSITION', |
3377 |
+ 'POSITION-SHIFT', 'POSITIONING', 'POSITIVE', 'POSITIVE-INFINITY', |
3378 |
+ 'PREFIXED', 'PREFIXING', 'PRESENT', |
3379 |
+ 'PREVIOUS', 'PRINT', 'PRINT-CONTROL', 'PRINT-NO-PROMPT', |
3380 |
+ 'PRINT-PREVIEW', 'PRINT-SWITCH', 'PRINTER', 'PRINTER-1', 'PRINTING', |
3381 |
+ 'PRIOR', 'PRIORITY', 'PRIVATE', 'PROCEDURE', 'PROCEDURE-POINTER', |
3382 |
+ 'PROCEDURES', 'PROCEED', 'PROCESS', 'PROCESSING', 'PROGRAM', |
3383 |
+ 'PROGRAM-ID', 'PROGRAM-POINTER', 'PROGRESS', 'PROHIBITED', |
3384 |
+ 'PROMPT', 'PROPERTIES', |
3385 |
+ 'PROPERTY', 'PROPERTY-ID', 'PROPERTY-VALUE', 'PROTECTED', |
3386 |
+ 'PROTOTYPE', 'PUBLIC', 'PURGE', 'PUSH-BUTTON', 'QUERY-INDEX', |
3387 |
+ 'QUEUE', 'QUOTE', 'QUOTES', 'RADIO-BUTTON', 'RAISED', |
3388 |
+ 'RAISING', 'RD', 'READ-ONLY', 'READING', |
3389 |
+ 'READY', 'RECORD', 'RECORD-DATA', 'RECORD-OVERFLOW', |
3390 |
+ 'RECORD-TO-ADD', 'RECORD-TO-DELETE', 'RECORDING', 'RECORDS', |
3391 |
+ 'RECURSIVE', 'REDEFINE', 'REDEFINES', 'REDEFINITION', 'REEL', |
3392 |
+ 'REFERENCE', 'REFERENCES', 'REFRESH', 'REGION-COLOR', 'RELATION', |
3393 |
+ 'RELATIVE', 'RELOAD', 'REMAINDER', 'REMARKS', 'REMOVAL', |
3394 |
+ 'RENAMES', 'REORG-CRITERIA', 'REPEATED', 'REPLACE', 'REPLACING', |
3395 |
+ 'REPORT', 'REPORTING', 'REPORTS', 'REPOSITORY', 'REQUIRED', |
3396 |
+ 'REPRESENTS-NOT-A-NUMBER', |
3397 |
+ 'REREAD', 'RERUN', 'RESERVE', 'RESET-GRID', 'RESET-LIST', |
3398 |
+ 'RESET-TABS', 'RESIZABLE', 'RESTRICTED', 'RESULT-SET-LOCATOR', |
3399 |
+ 'RETRY', 'RETURN-CODE', 'RETURNING', |
3400 |
+ 'REVERSE-VIDEO', 'REVERSED', 'REWIND', 'RF', 'RH', |
3401 |
+ 'RIGHT', 'RIGHT-ALIGN', 'RIGHT-JUSTIFY', 'RIMMED', |
3402 |
+ 'ROLLING', 'ROUNDED', 'ROUNDING', 'ROW-COLOR', 'ROW-COLOR-PATTERN', |
3403 |
+ 'ROW-DIVIDERS', 'ROW-FONT', 'ROW-HEADINGS', 'ROW-PROTECTION', |
3404 |
+ 'ROWID', 'RUN', 'S01', 'S02', 'S03', 'S04', 'S05', 'SAME', |
3405 |
+ 'SAVE-AS', 'SAVE-AS-NO-PROMPT', 'SCREEN', 'SCROLL', 'SCROLL-BAR', |
3406 |
+ 'SD', 'SEARCH-OPTIONS', 'SEARCH-TEXT', 'SECONDS', |
3407 |
+ 'SECTION', 'SECURE', 'SECURITY', 'SEEK', 'SEGMENT', 'SEGMENT-LIMIT', |
3408 |
+ 'SELECT-ALL', 'SELECTION-INDEX', 'SELECTION-TEXT', |
3409 |
+ 'SELECTIVE', 'SELF', 'SELF-ACT', 'SELFCLASS', 'SEMAPHORE-POINTER', |
3410 |
+ 'SEND', 'SENTENCE', 'SEPARATE', 'SEPARATION', 'SEQUENCE', |
3411 |
+ 'SEQUENTIAL', 'SETTER', 'SHADING', 'SHADOW', |
3412 |
+ 'SHARING', 'SHIFT-IN', 'SHIFT-OUT', 'SHORT-DATE', 'SHOW-LINES', |
3413 |
+ 'SHOW-NONE', 'SHOW-SEL-ALWAYS', 'SIGNED', 'SIGNED-INT', |
3414 |
+ 'SIGNED-LONG', 'SIGNED-SHORT', 'SIZE', 'SKIP1', |
3415 |
+ 'SKIP2', 'SKIP3', 'SMALL-FONT', 'SORT-CONTROL', |
3416 |
+ 'SORT-CORE-SIZE', 'SORT-FILE-SIZE', 'SORT-MERGE', 'SORT-MESSAGE', |
3417 |
+ 'SORT-MODE-SIZE', 'SORT-OPTION', 'SORT-ORDER', 'SORT-RETURN', |
3418 |
+ 'SORT-TAPE', 'SORT-TAPES', 'SOURCE', 'SOURCE-COMPUTER', 'SOURCES', |
3419 |
+ 'SPACE', 'SPACE-FILL', 'SPACES', 'SPECIAL-NAMES', 'SPINNER', 'SQL', |
3420 |
+ 'SQUARE', 'STANDARD', 'STANDARD-1', 'STANDARD-2', 'STANDARD-3', |
3421 |
+ 'STANDARD-BINARY', 'STANDARD-DECIMAL', |
3422 |
+ 'START-X', 'START-Y', 'STARTING', 'STATEMENT', 'STATIC', |
3423 |
+ 'STATIC-LIST', |
3424 |
+ 'STATUS', 'STATUS-BAR', 'STATUS-TEXT', 'STEP', |
3425 |
+ 'STOP-BROWSER', 'STRONG', 'STYLE', 'SUB-QUEUE-1', |
3426 |
+ 'SUB-QUEUE-2', 'SUB-QUEUE-3', 'SUBFILE', 'SUBWINDOW', |
3427 |
+ 'SUFFIXING', 'SUPER', 'SYMBOL', 'SYMBOLIC', |
3428 |
+ 'SYNCHRONIZED', 'SYSIN', 'SYSIPT', 'SYSLST', 'SYSOUT', |
3429 |
+ 'SYSPCH', 'SYSPUNCH', 'SYSTEM', 'SYSTEM-DEFAULT', 'SYSTEM-INFO', |
3430 |
+ 'TAB', 'TAB-CONTROL', 'TAB-TO-ADD', 'TAB-TO-DELETE', 'TABLE', |
3431 |
+ 'TALLY', 'TALLYING', 'TAPE', 'TAPES', 'TEMPORARY', 'TERMINAL', |
3432 |
+ 'TERMINAL-INFO', 'TERMINATION-VALUE', 'TEST', 'TEXT', |
3433 |
+ 'THAN', 'THEN', 'THREAD', 'THREAD-LOCAL', 'THREAD-LOCAL-STORAGE', |
3434 |
+ 'THREAD-POINTER', 'THROUGH', 'THRU', 'THUMB-POSITION', |
3435 |
+ 'TILED-HEADINGS', 'TIME', 'TIME-OF-DAY', 'TIME-OUT', 'TIME-RECORD', |
3436 |
+ 'TIMEOUT', 'TIMES', 'TIMESTAMP', 'TIMESTAMP-OFFSET', |
3437 |
+ 'TIMESTAMP-OFFSET-RECORD', 'TIMESTAMP-RECORD', 'TITLE', 'TITLE-BAR', |
3438 |
+ 'TITLE-POSITION', 'TO', 'TOOL-BAR', 'TOP', 'TOTALED', 'TOTALING', |
3439 |
+ 'TOWARD-GREATER', 'TOWARD-LESSER', |
3440 |
+ 'TRACE', 'TRACK-AREA', 'TRACK-LIMIT', 'TRACK-THUMB', 'TRACKS', |
3441 |
+ 'TRADITIONAL-FONT', 'TRAILING', 'TRAILING-SHIFT', 'TRAILING-SIGN', |
3442 |
+ 'TRANSACTION', 'TRANSPARENT', 'TRANSPARENT-COLOR', |
3443 |
+ 'TREE-VIEW', 'TRUE', 'TRUNCATION', 'TYPE', 'TYPEDEF', 'UCS-4', |
3444 |
+ 'UNDERLINE', 'UNDERLINED', 'UNEQUAL', 'UNFRAMED', 'UNIT', 'UNITS', |
3445 |
+ 'UNIVERSAL', 'UNSIGNED', 'UNSIGNED-INT', 'UNSIGNED-LONG', |
3446 |
+ 'UNSIGNED-SHORT', |
3447 |
+ 'UNSORTED', 'UP', 'UPDATE', 'UNTIL', 'UPON', 'UPPER', |
3448 |
+ 'UPSI-0', 'UPSI-1', 'UPSI-2', 'UPSI-3', 'UPSI-4', 'UPSI-5', |
3449 |
+ 'UPSI-6', 'UPSI-7', 'USAGE', 'USE-ALT', 'USE-RETURN', |
3450 |
+ 'USE-TAB', 'USER', 'USER-COLORS', 'USER-DEFAULT', 'USER-GRAY', |
3451 |
+ 'USER-WHITE', 'USING', 'UTF-16', 'UTF-8', 'VALID', |
3452 |
+ 'VAL-STATUS', 'VALIDATE-STATUS', |
3453 |
+ 'VALUE', 'VALUE-FORMAT', 'VALUES', 'VALUETYPE', 'VALUETYPE-ID', |
3454 |
+ 'VARBINARY', 'VARIABLE', 'VARIANT', 'VARYING', 'VERTICAL', |
3455 |
+ 'VERY-HEAVY', 'VIRTUAL-WIDTH', 'VISIBLE', 'VPADDING', 'VSCROLL', |
3456 |
+ 'VSCROLL-BAR', 'VSCROLL-POS', 'VTOP', 'WEB-BROWSER', 'WHEN', |
3457 |
+ 'WHERE', 'WIDTH', 'WIDTH-IN-CELLS', 'WINDOW', |
3458 |
+ 'WITH', 'WORDS', 'WORKING-STORAGE', 'WRAP', 'WRITE-ONLY', |
3459 |
+ 'WRITE-VERIFY', 'WRITING', ' XML', 'XML ', 'XML-CODE', 'XML-EVENT', |
3460 |
+ 'XML-NTEXT', 'XML-TEXT', 'YIELDING', 'YYYYDDD', 'YYYYMMDD', 'ZERO', |
3461 |
+ 'ZERO-FILL', 'ZEROES', 'ZEROS' |
3462 |
), |
3463 |
- 3 => array( //Reserved in some contexts |
3464 |
- 'ACCESS', 'ADDRESS', 'ADVANCING', 'AFTER', 'ALL', |
3465 |
- 'ALPHABET', 'ALPHABETIC', 'ALPHABETIC-LOWER', 'ALPHABETIC-UPPER', |
3466 |
- 'ALPHANUMERIC', 'ALPHANUMERIC-EDITED', 'ALSO', 'ALTERNATE', |
3467 |
- 'AND', 'ANY', 'APPROXIMATE', 'AREA', 'AREAS', 'ASCENDING', 'ASSIGN', |
3468 |
- 'AT', 'AUTHOR', 'BEFORE', 'BINARY', 'BLOCK', 'BOTTOM', 'BY', |
3469 |
- 'CD', 'CF', 'CH', 'CHARACTER', 'CHARACTERS', |
3470 |
- 'CHARACTER-SET', 'CLASS', 'CLOCK-UNITS', |
3471 |
- 'CODE-SET', 'COLLATING', 'COLUMN', 'COMMA', |
3472 |
- 'COMMON', 'COMMUNICATION', 'COMP', 'COMP-3', 'COMP-5', |
3473 |
- 'COMPUTATIONAL', 'COMPUTATIONAL-3', 'COMPUTATIONAL-5', |
3474 |
- 'CONFIGURATION', 'CONTAINS', 'CONTENT', 'CONTROL', |
3475 |
- 'CONTROLS', 'CORR', 'COUNT', |
3476 |
- 'CURRENCY', 'DATA', 'DATE', 'DATE-COMPILED', 'DATE-WRITTEN', 'DAY', |
3477 |
- 'DAY-OF-WEEK', 'DE', 'DEBUG-CONTENTS', 'DEBUG-ITEM', 'DEBUG-LINE', |
3478 |
- 'DEBUG-SUB-2', 'DEBUG-SUB-3', 'DEBUGGING', 'DECIMAL-POINT', |
3479 |
- 'DECLARATIVES', 'DEBUG-NAME', 'DEBUG-SUB-1', 'DELIMITED', |
3480 |
- 'DELIMITER', 'DEPENDING', 'DESCENDING', 'DESTINATION', 'DETAIL', |
3481 |
- 'DISABLE', 'DIVISION', 'DOWN', 'DUPLICATES', |
3482 |
- 'DYNAMIC', 'EGI', 'ELSE', 'EMI', 'ENABLE', 'END', 'END-ADD', |
3483 |
- 'END-COMPUTE', 'END-DELETE', 'END-DIVIDE', 'END-EVALUATE', 'END-IF', |
3484 |
- 'END-MULTIPLY', 'END-OF-PAGE', 'END-PERFORM', 'END-READ', |
3485 |
- 'END-RECEIVE', 'END-RETURN', 'END-REWRITE', 'END-SEARCH', |
3486 |
- 'END-START', 'END-STRING', 'END-SUBTRACT', 'END-UNSTRING', |
3487 |
- 'END-WRITE', 'EOP', 'EQUAL', 'ERROR', 'ESI', |
3488 |
- 'EVERY', 'EXCEPTION', 'EXCLUSIVE', 'EXTEND', |
3489 |
- 'EXTENDED-STORAGE', 'EXTERNAL', 'FALSE', 'FD', 'FILE', |
3490 |
- 'FILE-CONTROL', 'FILLER', 'FINAL', 'FIRST', 'FOOTING', 'FOR', |
3491 |
- 'FROM', 'FUNCTION', 'GENERATE', 'GENERIC', 'GLOBAL', |
3492 |
- 'GREATER', 'GROUP', 'GUARDIAN-ERR', 'HIGH-VALUE', |
3493 |
- 'HIGH-VALUES', 'I-O', 'I-O-CONTROL', 'IDENTIFICATION', 'IN', |
3494 |
- 'INDEX', 'INDEXED', 'INDICATE', 'INITIAL', 'INITIATE', |
3495 |
- 'INPUT', 'INPUT-OUTPUT', 'INSTALLATION', |
3496 |
- 'INVALID', 'IS', 'JUST', 'JUSTIFIED', 'KEY', 'LABEL', 'LAST', |
3497 |
- 'LEADING', 'LEFT', 'LESS', 'LIMIT', 'LIMITS', 'LINAGE', |
3498 |
- 'LINAGE-COUNTER', 'LINE', 'LINE-COUNTER', 'LINKAGE', 'LOCK', |
3499 |
- 'LOW-VALUE', 'LOW-VALUES', 'MEMORY', 'MESSAGE', |
3500 |
- 'MODE', 'MODULES', 'MULTIPLE', 'NATIVE', |
3501 |
- 'NEGATIVE', 'NEXT', 'NO', 'NOT', 'NULL', 'NULLS', 'NUMBER', |
3502 |
- 'NUMERIC', 'NUMERIC-EDITED', 'OBJECT-COMPUTER', 'OCCURS', 'OF', |
3503 |
- 'OFF', 'OMITTED', 'ON', 'OPTIONAL', 'OR', 'ORDER', |
3504 |
- 'ORGANIZATION', 'OTHER', 'OUTPUT', 'OVERFLOW', 'PACKED-DECIMAL', |
3505 |
- 'PADDING', 'PAGE', 'PAGE-COUNTER', 'PF', 'PH', 'PIC', |
3506 |
- 'PICTURE', 'PLUS', 'POINTER', 'POSITION', 'POSITIVE', 'PRINTING', |
3507 |
- 'PROCEDURE', 'PROCEDURES', 'PROCEED', 'PROGRAM', 'PROGRAM-ID', |
3508 |
- 'PROGRAM-STATUS', 'PROGRAM-STATUS-1', 'PROGRAM-STATUS-2', 'PROMPT', |
3509 |
- 'PROTECTED', 'PURGE', 'QUEUE', 'QUOTE', 'QUOTES', 'RD', |
3510 |
- 'RECEIVE', 'RECEIVE-CONTROL', 'RECORD', 'RECORDS', |
3511 |
- 'REDEFINES', 'REEL', 'REFERENCE', 'REFERENCES', 'RELATIVE', |
3512 |
- 'REMOVAL', 'RENAMES', 'REPLACE', |
3513 |
- 'REPLY', 'REPORT', 'REPORTING', 'REPORTS', 'RERUN', |
3514 |
- 'RESERVE', 'RESET', 'REVERSED', 'REWIND', 'REWRITE', 'RF', |
3515 |
- 'RH', 'RIGHT', 'ROUNDED', 'RUN', 'SAME', 'SD', |
3516 |
- 'SECURITY', 'SEGMENT', 'SEGMENT-LIMIT', 'SELECT', 'SEND', |
3517 |
- 'SENTENCE', 'SEPARATE', 'SEQUENCE', 'SEQUENTIAL', 'SET', |
3518 |
- 'SIGN', 'SIZE', 'SORT', 'SORT-MERGE', 'SOURCE-COMPUTER', |
3519 |
- 'SPACE', 'SPACES', 'SPECIAL-NAMES', 'STANDARD', 'STANDARD-1', |
3520 |
- 'STANDARD-2', 'START', 'STARTBACKUP', 'STATUS', 'STOP', 'STRING', |
3521 |
- 'SUB-QUEUE-1', 'SUB-QUEUE-2', 'SUB-QUEUE-3', 'SUBTRACT', |
3522 |
- 'SYMBOLIC', 'SYNC', 'SYNCDEPTH', 'SYNCHRONIZED', |
3523 |
- 'TABLE', 'TAL', 'TAPE', 'TERMINAL', 'TERMINATE', 'TEST', |
3524 |
- 'TEXT', 'THAN', 'THEN', 'THROUGH', 'THRU', 'TIME', |
3525 |
- 'TOP', 'TRAILING', 'TRUE', 'TYPE', 'UNIT', 'UNLOCK', 'UNLOCKFILE', |
3526 |
- 'UNLOCKRECORD', 'UNSTRING', 'UP', 'UPON', 'USAGE', 'USE', |
3527 |
- 'USING', 'VALUE', 'VALUES', 'WHEN', 'WITH', 'WORDS', |
3528 |
- 'WORKING-STORAGE', 'WRITE', 'ZERO', 'ZEROES' |
3529 |
+ 3 => array( // Statement Keywords containing no spaces. |
3530 |
+ 'ACCEPT', 'ADD', 'ALTER', 'ALLOCATE', 'ATTACH', 'CALL', 'CANCEL', |
3531 |
+ 'CHAIN', 'CREATE', |
3532 |
+ 'CLOSE', 'COLOR', 'COMPUTE', 'COMMIT', 'CONTINUE', |
3533 |
+ 'COPY', 'DECLARE', 'DELEGATE', 'DELETE', 'DETACH', 'DISPLAY', |
3534 |
+ 'DIVIDE', |
3535 |
+ 'ENTER', 'ENTRY', 'EVALUATE', 'EXAMINE', |
3536 |
+ 'EXEC', 'EXECUTE', 'EXHIBIT', 'EXIT', 'FREE', 'GOBACK', |
3537 |
+ 'IF', 'INITIALIZE', 'INITIATE', 'INSPECT', 'INVOKE', 'MERGE', |
3538 |
+ 'MODIFY', 'MOVE', 'MULTIPLY', 'NOTE', 'ON', 'OPEN', |
3539 |
+ 'PERFORM', 'RAISE', 'READ', 'RECEIVE', 'RELEASE', 'RETURN', |
3540 |
+ 'RESET', 'RESUME', |
3541 |
+ 'REWRITE', 'ROLLBACK', 'SEARCH', 'SELECT', 'SERVICE', 'SET', 'SORT', |
3542 |
+ 'START', 'STOP', 'STRING', 'SUBTRACT', 'SYNC', |
3543 |
+ 'SUPPRESS', 'TERMINATE', |
3544 |
+ 'TRANSFORM', 'TRY', 'UNLOCKFILE', 'UNLOCK', 'UNSTRING', 'USE', |
3545 |
+ 'VALIDATE', 'WAIT', 'WRITE' |
3546 |
), |
3547 |
- 4 => array( //Standard functions |
3548 |
- 'ACOS', 'ANNUITY', 'ASIN', 'ATAN', 'CHAR', 'COS', 'CURRENT-DATE', |
3549 |
- 'DATE-OF-INTEGER', 'DAY-OF-INTEGER', 'FACTORIAL', 'INTEGER', |
3550 |
- 'INTEGER-OF-DATE', 'INTEGER-OF-DAY', 'INTEGER-PART', 'LENGTH', |
3551 |
- 'LOG', 'LOG10', 'LOWER-CASE', 'MAX', 'MEAN', 'MEDIAN', 'MIDRANGE', |
3552 |
- 'MIN', 'MOD', 'NUMVAL', 'NUMVAL-C', 'ORD', 'ORD-MAX', 'ORD-MIN', |
3553 |
- 'PRESENT-VALUE', 'RANDOM', 'RANGE', 'REM', 'REVERSE', 'SIN', 'SQRT', |
3554 |
- 'STANDARD-DEVIATION', 'SUM', 'TAN', 'UPPER-CASE', 'VARIANCE', |
3555 |
- 'WHEN-COMPILED' |
3556 |
+ 4 => array( // Intrinsic functions |
3557 |
+ 'ABS', 'ACOS', 'ANNUITY', 'ASIN', 'ATAN', 'BOOLEAN-OF-INTEGER', |
3558 |
+ 'BYTE-LENGTH', 'CHAR', 'CHAR-NATIONAL', |
3559 |
+ 'COS', 'COMBINED-DATETIME', 'CONCATENATE', 'CURRENT-DATE', |
3560 |
+ 'DATE-OF-INTEGER', 'DATE-TO-YYYYMMDD', 'DAY-TO-YYYYDDD', |
3561 |
+ 'DAY-OF-INTEGER', 'DISPLAY-OF', 'E', 'EXCEPTION-FILE', |
3562 |
+ 'EXCEPTION-FILE-N', 'EXCEPTION-LOCATION', |
3563 |
+ 'EXCEPTION-LOCATION-N', 'EXCEPTION-STATEMENT', 'EXCEPTION-STATUS', |
3564 |
+ 'EXP', 'EXP10', 'FACTORIAL', 'FORMATTED-CURRENT-DATE', |
3565 |
+ 'FORMATTED-DATE', 'FORMATTED-DATETIME', 'FORMATTED-TIME', |
3566 |
+ 'FRACTION-PART', 'HIGHEST-ALGEBRAIC', 'INTEGER', |
3567 |
+ 'INTEGER-OF-BOOLEAN', 'INTEGER-OF-DATE', 'INTEGER-OF-DAY', |
3568 |
+ 'INTEGER-OF-FORMATTED-DATE', 'INTEGER-PART', 'LENGTH', |
3569 |
+ 'LOCALE-COMPARE', |
3570 |
+ 'LOCALE-DATE', 'LOCALE-TIME', 'LOCALE-TIME-FROM-SECONDS', |
3571 |
+ 'LOCALE-TIME-FROM-SECS', 'LOG', |
3572 |
+ 'LOG10', 'LOWER-CASE', 'LOWEST-ALGEBRAIC', |
3573 |
+ 'MAX', 'MEAN', 'MEDIAN', 'MIDRANGE', |
3574 |
+ 'MIN', 'MOD', 'NATIONAL-OF', 'NUMVAL', 'NUMVAL-C', 'NUMVAL-F', |
3575 |
+ 'ORD', 'ORD-MAX', 'ORD-MIN', |
3576 |
+ 'PI', 'PRESENT-VALUE', 'RANDOM', 'RANGE', 'REM', 'REVERSE', |
3577 |
+ 'SECONDS-FROM-FORMATTED-TIME', 'SIGN', 'SIN', 'SQRT', |
3578 |
+ 'SECONDS-PAST-MIDNIGHT', 'STANDARD-DEVIATION', 'STANDARD-COMPARE', |
3579 |
+ 'STORED-CHAR-LENGTH', |
3580 |
+ 'SUBSTITUTE', 'SUBSTITUE-CASE', 'SUM', 'TAN', 'TEST-DATE-YYYYMMDD', |
3581 |
+ 'TEST-DAY-YYYYDDD', 'TEST-FORMATTED-TIME', 'TEST-NUMVAL', |
3582 |
+ 'TEST-NUMVAL-C', 'TEST-NUMVAL-F', |
3583 |
+ 'TRIM', 'UPPER-CASE', 'VARIANCE', 'YEAR-TO-YYYY', 'WHEN-COMPILED' |
3584 |
), |
3585 |
- 5 => array( //Privileged Built-in Functions |
3586 |
- '#IN', '#OUT', '#TERM', '#TEMP', '#DYNAMIC', 'COBOL85^ARMTRAP', |
3587 |
- 'COBOL85^COMPLETION', 'COBOL_COMPLETION_', 'COBOL_CONTROL_', |
3588 |
- 'COBOL_GETENV_', 'COBOL_PUTENV_', 'COBOL85^RETURN^SORT^ERRORS', |
3589 |
- 'COBOL_RETURN_SORT_ERRORS_', 'COBOL85^REWIND^SEQUENTIAL', |
3590 |
- 'COBOL_REWIND_SEQUENTIAL_', 'COBOL85^SET^SORT^PARAM^TEXT', |
3591 |
- 'COBOL_SET_SORT_PARAM_TEXT_', 'COBOL85^SET^SORT^PARAM^VALUE', |
3592 |
- 'COBOL_SET_SORT_PARAM_VALUE_', 'COBOL_SET_MAX_RECORD_', |
3593 |
- 'COBOL_SETMODE_', 'COBOL85^SPECIAL^OPEN', 'COBOL_SPECIAL_OPEN_', |
3594 |
- 'COBOLASSIGN', 'COBOL_ASSIGN_', 'COBOLFILEINFO', 'COBOL_FILE_INFO_', |
3595 |
- 'COBOLSPOOLOPEN', 'CREATEPROCESS', 'ALTERPARAMTEXT', |
3596 |
- 'CHECKLOGICALNAME', 'CHECKMESSAGE', 'DELETEASSIGN', 'DELETEPARAM', |
3597 |
- 'DELETESTARTUP', 'GETASSIGNTEXT', 'GETASSIGNVALUE', 'GETBACKUPCPU', |
3598 |
- 'GETPARAMTEXT', 'GETSTARTUPTEXT', 'PUTASSIGNTEXT', 'PUTASSIGNVALUE', |
3599 |
- 'PUTPARAMTEXT', 'PUTSTARTUPTEXT' |
3600 |
- ) |
3601 |
), |
3602 |
'SYMBOLS' => array( |
3603 |
- //Avoid having - in identifiers marked as symbols |
3604 |
- ' + ', ' - ', ' * ', ' / ', ' ** ', |
3605 |
+ // Arithmetic and comparison operators must be surrounded by spaces. |
3606 |
+ ' + ', ' - ', ' * ', ' / ', ' ** ', ' ^ ', |
3607 |
'.', ',', |
3608 |
- '=', |
3609 |
+ ' = ', ' < ', ' > ', ' >= ', ' <= ', ' <> ', |
3610 |
'(', ')', '[', ']' |
3611 |
), |
3612 |
'CASE_SENSITIVE' => array( |
3613 |
@@ -184,22 +397,19 @@ $language_data = array ( |
3614 |
2 => false, |
3615 |
3 => false, |
3616 |
4 => false, |
3617 |
- 5 => false |
3618 |
), |
3619 |
'STYLES' => array( |
3620 |
'KEYWORDS' => array( |
3621 |
- 1 => 'color: #000080; font-weight: bold;', |
3622 |
- 2 => 'color: #000000; font-weight: bold;', |
3623 |
- 3 => 'color: #008000; font-weight: bold;', |
3624 |
- 4 => 'color: #000080;', |
3625 |
- 5 => 'color: #008000;', |
3626 |
+ 1 => 'color: #000000; font-weight: bold;', |
3627 |
+ 2 => 'color: #008000; font-weight: bold;', |
3628 |
+ 3 => 'color: #000000; font-weight: bold;', |
3629 |
+ 4 => 'color: #9d7700;', |
3630 |
), |
3631 |
'COMMENTS' => array( |
3632 |
1 => 'color: #a0a0a0; font-style: italic;', |
3633 |
- 'MULTI' => 'color: #a0a0a0; font-style: italic;' |
3634 |
+ 2 => 'color: #000080; font-weight: bold;', |
3635 |
), |
3636 |
'ESCAPE_CHAR' => array( |
3637 |
- 0 => 'color: #000099; font-weight: bold;' |
3638 |
), |
3639 |
'BRACKETS' => array( |
3640 |
0 => 'color: #339933;' |
3641 |
@@ -211,7 +421,7 @@ $language_data = array ( |
3642 |
0 => 'color: #993399;' |
3643 |
), |
3644 |
'METHODS' => array( |
3645 |
- 1 => 'color: #202020;' |
3646 |
+ 1 => 'color: #800080;' |
3647 |
), |
3648 |
'SYMBOLS' => array( |
3649 |
0 => 'color: #000066;' |
3650 |
@@ -225,11 +435,11 @@ $language_data = array ( |
3651 |
1 => '', |
3652 |
2 => '', |
3653 |
3 => '', |
3654 |
- 4 => '', |
3655 |
- 5 => '' |
3656 |
+ 4 => '' |
3657 |
), |
3658 |
- 'OOLANG' => false, |
3659 |
+ 'OOLANG' => true, |
3660 |
'OBJECT_SPLITTERS' => array( |
3661 |
+ 1 => '::' |
3662 |
), |
3663 |
'REGEXPS' => array( |
3664 |
), |
3665 |
@@ -238,7 +448,10 @@ $language_data = array ( |
3666 |
), |
3667 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
3668 |
), |
3669 |
- 'TAB_WIDTH' => 4 |
3670 |
- ); |
3671 |
- |
3672 |
-?> |
3673 |
+ 'TAB_WIDTH' => 4, |
3674 |
+ 'PARSER_CONTROL' => array( |
3675 |
+ 'KEYWORDS' => array( |
3676 |
+ 'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9-\$_\|\#|^&])', |
3677 |
+ ), |
3678 |
+ ), |
3679 |
+); |
3680 |
|
3681 |
diff --git a/plugins/wp-syntax/geshi/geshi/coffeescript.php b/plugins/wp-syntax/geshi/geshi/coffeescript.php |
3682 |
index 194aecd..7a69e21 100644 |
3683 |
--- a/plugins/wp-syntax/geshi/geshi/coffeescript.php |
3684 |
+++ b/plugins/wp-syntax/geshi/geshi/coffeescript.php |
3685 |
@@ -4,7 +4,7 @@ |
3686 |
* ---------- |
3687 |
* Author: Trevor Burnham (trevorburnham@×××××.com) |
3688 |
* Copyright: (c) 2010 Trevor Burnham (http://iterative.ly) |
3689 |
- * Release Version: 1.0.8.11 |
3690 |
+ * Release Version: 1.0.8.12 |
3691 |
* Date Started: 2010/06/08 |
3692 |
* |
3693 |
* CoffeeScript language file for GeSHi. |
3694 |
@@ -142,5 +142,3 @@ $language_data = array ( |
3695 |
0 => true |
3696 |
) |
3697 |
); |
3698 |
- |
3699 |
-?> |
3700 |
\ No newline at end of file |
3701 |
|
3702 |
diff --git a/plugins/wp-syntax/geshi/geshi/cpp-qt.php b/plugins/wp-syntax/geshi/geshi/cpp-qt.php |
3703 |
index 36626c9..0f7df56 100644 |
3704 |
--- a/plugins/wp-syntax/geshi/geshi/cpp-qt.php |
3705 |
+++ b/plugins/wp-syntax/geshi/geshi/cpp-qt.php |
3706 |
@@ -4,7 +4,7 @@ |
3707 |
* ------- |
3708 |
* Author: Iulian M |
3709 |
* Copyright: (c) 2006 Iulian M |
3710 |
- * Release Version: 1.0.8.11 |
3711 |
+ * Release Version: 1.0.8.12 |
3712 |
* Date Started: 2004/09/27 |
3713 |
* |
3714 |
* C++ (with Qt extensions) language file for GeSHi. |
3715 |
@@ -48,7 +48,11 @@ $language_data = array ( |
3716 |
//Multiline-continued single-line comments |
3717 |
1 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m', |
3718 |
//Multiline-continued preprocessor define |
3719 |
- 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m' |
3720 |
+ 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m', |
3721 |
+ //C++ 11 string literal extensions |
3722 |
+ 3 => '/(?:L|u8?|U)(?=")/', |
3723 |
+ //C++ 11 string literal extensions (raw) |
3724 |
+ 4 => '/R"([^()\s\\\\]*)\((?:(?!\)\\1").)*\)\\1"/ms' |
3725 |
), |
3726 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
3727 |
'QUOTEMARKS' => array("'", '"'), |
3728 |
@@ -91,7 +95,8 @@ $language_data = array ( |
3729 |
'try', 'catch', 'inline', 'dynamic_cast', 'const_cast', 'reinterpret_cast', |
3730 |
'static_cast', 'explicit', 'friend', 'typename', 'typeid', 'class' , |
3731 |
'foreach','connect', 'Q_OBJECT' , 'slots' , 'signals', 'Q_SIGNALS', 'Q_SLOTS', |
3732 |
- 'Q_FOREACH', 'QCOMPARE', 'QVERIFY', 'qDebug', 'kDebug', 'QBENCHMARK' |
3733 |
+ 'Q_FOREACH', 'QCOMPARE', 'QVERIFY', 'qDebug', 'kDebug', 'QBENCHMARK', |
3734 |
+ 'SIGNAL', 'SLOT', 'emit' |
3735 |
), |
3736 |
3 => array( |
3737 |
'cin', 'cerr', 'clog', 'cout', |
3738 |
@@ -137,334 +142,387 @@ $language_data = array ( |
3739 |
'intmax_t', 'uintmax_t', 'intptr_t', 'uintptr_t' |
3740 |
), |
3741 |
5 => array( |
3742 |
- "Q_UINT16", "Q_UINT32", "Q_UINT64", "Q_UINT8", "Q_ULLONG", |
3743 |
- "Q_ULONG", "Q3Accel", "Q3Action", "Q3ActionGroup", "Q3AsciiBucket", |
3744 |
- "Q3AsciiCache", "Q3AsciiCacheIterator", "Q3AsciiDict", |
3745 |
- "Q3AsciiDictIterator", "Q3BaseBucket", "Q3BoxLayout", "Q3Button", |
3746 |
- "Q3ButtonGroup", "Q3Cache", "Q3CacheIterator", "Q3Canvas", |
3747 |
- "Q3CanvasEllipse", "Q3CanvasItem", "Q3CanvasItemList", |
3748 |
- "Q3CanvasLine", "Q3CanvasPixmap", "Q3CanvasPixmapArray", |
3749 |
- "Q3CanvasPolygon", "Q3CanvasPolygonalItem", "Q3CanvasRectangle", |
3750 |
- "Q3CanvasSpline", "Q3CanvasSprite", "Q3CanvasText", "Q3CanvasView", |
3751 |
- "Q3CheckListItem", "Q3CheckTableItem", "Q3CleanupHandler", |
3752 |
- "Q3ColorDrag", "Q3ComboBox", "Q3ComboTableItem", "Q3CString", |
3753 |
- "Q3DataBrowser", "Q3DataTable", "Q3DataView", "Q3DateEdit", |
3754 |
- "Q3DateTimeEdit", "Q3DateTimeEditBase", "Q3DeepCopy", "Q3Dict", |
3755 |
- "Q3DictIterator", "Q3Dns", "Q3DnsSocket", "Q3DockArea", |
3756 |
- "Q3DockAreaLayout", "Q3DockWindow", "Q3DragObject", "Q3DropSite", |
3757 |
- "Q3EditorFactory", "Q3FileDialog", "Q3FileIconProvider", |
3758 |
- "Q3FilePreview", "Q3Frame", "Q3Ftp", "Q3GArray", "Q3GCache", |
3759 |
- "Q3GCacheIterator", "Q3GDict", "Q3GDictIterator", "Q3GList", |
3760 |
- "Q3GListIterator", "Q3GListStdIterator", "Q3Grid", "Q3GridLayout", |
3761 |
- "Q3GridView", "Q3GroupBox", "Q3GVector", "Q3HBox", "Q3HBoxLayout", |
3762 |
- "Q3HButtonGroup", "Q3Header", "Q3HGroupBox", "Q3Http", |
3763 |
- "Q3HttpHeader", "Q3HttpRequestHeader", "Q3HttpResponseHeader", |
3764 |
- "Q3IconDrag", "Q3IconDragItem", "Q3IconView", "Q3IconViewItem", |
3765 |
- "Q3ImageDrag", "Q3IntBucket", "Q3IntCache", "Q3IntCacheIterator", |
3766 |
- "Q3IntDict", "Q3IntDictIterator", "Q3ListBox", "Q3ListBoxItem", |
3767 |
- "Q3ListBoxPixmap", "Q3ListBoxText", "Q3ListView", "Q3ListViewItem", |
3768 |
- "Q3ListViewItemIterator", "Q3LNode", "Q3LocalFs", "Q3MainWindow", |
3769 |
- "Q3MemArray", "Q3MimeSourceFactory", "Q3MultiLineEdit", |
3770 |
- "Q3NetworkOperation", "Q3NetworkProtocol", "Q3NetworkProtocolDict", |
3771 |
- "Q3NetworkProtocolFactory", "Q3NetworkProtocolFactoryBase", |
3772 |
- "Q3ObjectDictionary", "Q3PaintDeviceMetrics", "Q3Painter", |
3773 |
- "Q3Picture", "Q3PointArray", "Q3PolygonScanner", "Q3PopupMenu", |
3774 |
- "Q3Process", "Q3ProgressBar", "Q3ProgressDialog", "Q3PtrBucket", |
3775 |
- "Q3PtrCollection", "Q3PtrDict", "Q3PtrDictIterator", "Q3PtrList", |
3776 |
- "Q3PtrListIterator", "Q3PtrListStdIterator", "Q3PtrQueue", |
3777 |
- "Q3PtrStack", "Q3PtrVector", "Q3RangeControl", "Q3ScrollView", |
3778 |
- "Q3Semaphore", "Q3ServerSocket", "Q3Shared", "Q3Signal", |
3779 |
- "Q3SimpleRichText", "Q3SingleCleanupHandler", "Q3Socket", |
3780 |
- "Q3SocketDevice", "Q3SortedList", "Q3SpinWidget", "Q3SqlCursor", |
3781 |
- "Q3SqlEditorFactory", "Q3SqlFieldInfo", "Q3SqlFieldInfoList", |
3782 |
- "Q3SqlForm", "Q3SqlPropertyMap", "Q3SqlRecordInfo", |
3783 |
- "Q3SqlSelectCursor", "Q3StoredDrag", "Q3StrIList", "Q3StringBucket", |
3784 |
- "Q3StrIVec", "Q3StrList", "Q3StrListIterator", "Q3StrVec", |
3785 |
- "Q3StyleSheet", "Q3StyleSheetItem", "Q3SyntaxHighlighter", |
3786 |
- "Q3TabDialog", "Q3Table", "Q3TableItem", "Q3TableSelection", |
3787 |
- "Q3TextBrowser", "Q3TextDrag", "Q3TextEdit", |
3788 |
- "Q3TextEditOptimPrivate", "Q3TextStream", "Q3TextView", |
3789 |
- "Q3TimeEdit", "Q3ToolBar", "Q3TSFUNC", "Q3UriDrag", "Q3Url", |
3790 |
- "Q3UrlOperator", "Q3ValueList", "Q3ValueListConstIterator", |
3791 |
- "Q3ValueListIterator", "Q3ValueStack", "Q3ValueVector", "Q3VBox", |
3792 |
- "Q3VBoxLayout", "Q3VButtonGroup", "Q3VGroupBox", "Q3WhatsThis", |
3793 |
- "Q3WidgetStack", "Q3Wizard", "QAbstractButton", |
3794 |
- "QAbstractEventDispatcher", "QAbstractExtensionFactory", |
3795 |
- "QAbstractExtensionManager", "QAbstractFileEngine", |
3796 |
- "QAbstractFileEngineHandler", "QAbstractFileEngineIterator", |
3797 |
- "QAbstractFormBuilder", "QAbstractGraphicsShapeItem", |
3798 |
- "QAbstractItemDelegate", "QAbstractItemModel", "QAbstractItemView", |
3799 |
- "QAbstractListModel", "QAbstractMessageHandler", |
3800 |
- "QAbstractNetworkCache", "QAbstractPageSetupDialog", |
3801 |
- "QAbstractPrintDialog", "QAbstractProxyModel", |
3802 |
- "QAbstractScrollArea", "QAbstractSlider", "QAbstractSocket", |
3803 |
- "QAbstractSpinBox", "QAbstractTableModel", |
3804 |
- "QAbstractTextDocumentLayout", "QAbstractUndoItem", |
3805 |
- "QAbstractUriResolver", "QAbstractXmlNodeModel", |
3806 |
- "QAbstractXmlReceiver", "QAccessible", "QAccessible2Interface", |
3807 |
- "QAccessibleApplication", "QAccessibleBridge", |
3808 |
- "QAccessibleBridgeFactoryInterface", "QAccessibleBridgePlugin", |
3809 |
- "QAccessibleEditableTextInterface", "QAccessibleEvent", |
3810 |
- "QAccessibleFactoryInterface", "QAccessibleInterface", |
3811 |
- "QAccessibleInterfaceEx", "QAccessibleObject", |
3812 |
- "QAccessibleObjectEx", "QAccessiblePlugin", |
3813 |
- "QAccessibleSimpleEditableTextInterface", |
3814 |
- "QAccessibleTableInterface", "QAccessibleTextInterface", |
3815 |
- "QAccessibleValueInterface", "QAccessibleWidget", |
3816 |
- "QAccessibleWidgetEx", "QAction", "QActionEvent", "QActionGroup", |
3817 |
- "QApplication", "QArgument", "QAssistantClient", "QAtomicInt", |
3818 |
- "QAtomicPointer", "QAuthenticator", "QBasicAtomicInt", |
3819 |
- "QBasicAtomicPointer", "QBasicTimer", "QBitArray", "QBitmap", |
3820 |
- "QBitRef", "QBool", "QBoxLayout", "QBrush", "QBrushData", "QBuffer", |
3821 |
- "QButtonGroup", "QByteArray", "QByteArrayMatcher", "QByteRef", |
3822 |
- "QCache", "QCalendarWidget", "QCDEStyle", "QChar", "QCharRef", |
3823 |
- "QCheckBox", "QChildEvent", "QCleanlooksStyle", "QClipboard", |
3824 |
- "QClipboardEvent", "QCloseEvent", "QColor", "QColorDialog", |
3825 |
- "QColorGroup", "QColormap", "QColumnView", "QComboBox", |
3826 |
- "QCommandLinkButton", "QCommonStyle", "QCompleter", |
3827 |
- "QConicalGradient", "QConstString", "QContextMenuEvent", "QCOORD", |
3828 |
- "QCoreApplication", "QCryptographicHash", "QCursor", "QCursorShape", |
3829 |
- "QCustomEvent", "QDataStream", "QDataWidgetMapper", "QDate", |
3830 |
- "QDateEdit", "QDateTime", "QDateTimeEdit", "QDB2Driver", |
3831 |
- "QDB2Result", "QDBusAbstractAdaptor", "QDBusAbstractInterface", |
3832 |
- "QDBusArgument", "QDBusConnection", "QDBusConnectionInterface", |
3833 |
- "QDBusContext", "QDBusError", "QDBusInterface", "QDBusMessage", |
3834 |
- "QDBusMetaType", "QDBusObjectPath", "QDBusPendingCall", |
3835 |
- "QDBusPendingCallWatcher", "QDBusPendingReply", |
3836 |
- "QDBusPendingReplyData", "QDBusReply", "QDBusServer", |
3837 |
- "QDBusSignature", "QDBusVariant", "QDebug", |
3838 |
- "QDesignerActionEditorInterface", "QDesignerBrushManagerInterface", |
3839 |
- "QDesignerComponents", "QDesignerContainerExtension", |
3840 |
- "QDesignerCustomWidgetCollectionInterface", |
3841 |
- "QDesignerCustomWidgetInterface", "QDesignerDnDItemInterface", |
3842 |
- "QDesignerDynamicPropertySheetExtension", "QDesignerExportWidget", |
3843 |
- "QDesignerExtraInfoExtension", "QDesignerFormEditorInterface", |
3844 |
- "QDesignerFormEditorPluginInterface", "QDesignerFormWindowCursorInterface", |
3845 |
- "QDesignerFormWindowInterface", "QDesignerFormWindowManagerInterface", |
3846 |
- "QDesignerFormWindowToolInterface", |
3847 |
- "QDesignerIconCacheInterface", "QDesignerIntegrationInterface", |
3848 |
- "QDesignerLanguageExtension", "QDesignerLayoutDecorationExtension", |
3849 |
- "QDesignerMemberSheetExtension", "QDesignerMetaDataBaseInterface", |
3850 |
- "QDesignerMetaDataBaseItemInterface", |
3851 |
- "QDesignerObjectInspectorInterface", "QDesignerPromotionInterface", |
3852 |
- "QDesignerPropertyEditorInterface", |
3853 |
- "QDesignerPropertySheetExtension", "QDesignerResourceBrowserInterface", |
3854 |
- "QDesignerTaskMenuExtension", "QDesignerWidgetBoxInterface", |
3855 |
- "QDesignerWidgetDataBaseInterface", "QDesignerWidgetDataBaseItemInterface", |
3856 |
- "QDesignerWidgetFactoryInterface", "QDesktopServices", |
3857 |
- "QDesktopWidget", "QDial", "QDialog", "QDialogButtonBox", "QDir", |
3858 |
- "QDirIterator", "QDirModel", "QDockWidget", "QDomAttr", |
3859 |
- "QDomCDATASection", "QDomCharacterData", "QDomComment", |
3860 |
- "QDomDocument", "QDomDocumentFragment", "QDomDocumentType", |
3861 |
- "QDomElement", "QDomEntity", "QDomEntityReference", |
3862 |
- "QDomImplementation", "QDomNamedNodeMap", "QDomNode", |
3863 |
- "QDomNodeList", "QDomNotation", "QDomProcessingInstruction", |
3864 |
- "QDomText", "QDoubleSpinBox", "QDoubleValidator", "QDrag", |
3865 |
- "QDragEnterEvent", "QDragLeaveEvent", "QDragMoveEvent", |
3866 |
- "QDragResponseEvent", "QDropEvent", "QDynamicPropertyChangeEvent", |
3867 |
- "QErrorMessage", "QEvent", "QEventLoop", "QEventSizeOfChecker", |
3868 |
- "QExplicitlySharedDataPointer", "QExtensionFactory", |
3869 |
- "QExtensionManager", "QFactoryInterface", "QFile", "QFileDialog", |
3870 |
- "QFileIconProvider", "QFileInfo", "QFileInfoList", |
3871 |
- "QFileInfoListIterator", "QFileOpenEvent", "QFileSystemModel", |
3872 |
- "QFileSystemWatcher", "QFlag", "QFlags", "QFocusEvent", |
3873 |
- "QFocusFrame", "QFont", "QFontComboBox", "QFontDatabase", |
3874 |
- "QFontDialog", "QFontInfo", "QFontMetrics", "QFontMetricsF", |
3875 |
- "QForeachContainer", "QForeachContainerBase", "QFormBuilder", |
3876 |
- "QFormLayout", "QFrame", "QFSFileEngine", "QFtp", "QFuture", |
3877 |
- "QFutureInterface", "QFutureInterfaceBase", "QFutureIterator", |
3878 |
- "QFutureSynchronizer", "QFutureWatcher", "QFutureWatcherBase", |
3879 |
- "QGenericArgument", "QGenericReturnArgument", "QGLColormap", |
3880 |
- "QGLContext", "QGLFormat", "QGLFramebufferObject", "QGlobalStatic", |
3881 |
- "QGlobalStaticDeleter", "QGLPixelBuffer", "QGLWidget", "QGradient", |
3882 |
- "QGradientStop", "QGradientStops", "QGraphicsEllipseItem", |
3883 |
- "QGraphicsGridLayout", "QGraphicsItem", "QGraphicsItemAnimation", |
3884 |
- "QGraphicsItemGroup", "QGraphicsLayout", "QGraphicsLayoutItem", |
3885 |
- "QGraphicsLinearLayout", "QGraphicsLineItem", "QGraphicsPathItem", |
3886 |
- "QGraphicsPixmapItem", "QGraphicsPolygonItem", |
3887 |
- "QGraphicsProxyWidget", "QGraphicsRectItem", "QGraphicsScene", |
3888 |
- "QGraphicsSceneContextMenuEvent", "QGraphicsSceneDragDropEvent", |
3889 |
- "QGraphicsSceneEvent", "QGraphicsSceneHelpEvent", |
3890 |
- "QGraphicsSceneHoverEvent", "QGraphicsSceneMouseEvent", |
3891 |
- "QGraphicsSceneMoveEvent", "QGraphicsSceneResizeEvent", |
3892 |
- "QGraphicsSceneWheelEvent", "QGraphicsSimpleTextItem", |
3893 |
- "QGraphicsSvgItem", "QGraphicsTextItem", "QGraphicsView", |
3894 |
- "QGraphicsWidget", "QGridLayout", "QGroupBox", "QGtkStyle", "QHash", |
3895 |
- "QHashData", "QHashDummyNode", "QHashDummyValue", "QHashIterator", |
3896 |
- "QHashNode", "QHBoxLayout", "QHeaderView", "QHelpContentItem", |
3897 |
- "QHelpContentModel", "QHelpContentWidget", "QHelpEngine", |
3898 |
- "QHelpEngineCore", "QHelpEvent", "QHelpGlobal", "QHelpIndexModel", |
3899 |
- "QHelpIndexWidget", "QHelpSearchEngine", "QHelpSearchQuery", |
3900 |
- "QHelpSearchQueryWidget", "QHelpSearchResultWidget", "QHideEvent", |
3901 |
- "QHostAddress", "QHostInfo", "QHoverEvent", "QHttp", "QHttpHeader", |
3902 |
- "QHttpRequestHeader", "QHttpResponseHeader", "QIBaseDriver", |
3903 |
- "QIBaseResult", "QIcon", "QIconDragEvent", "QIconEngine", |
3904 |
- "QIconEngineFactoryInterface", "QIconEngineFactoryInterfaceV2", |
3905 |
- "QIconEnginePlugin", "QIconEnginePluginV2", "QIconEngineV2", |
3906 |
- "QIconSet", "QImage", "QImageIOHandler", |
3907 |
- "QImageIOHandlerFactoryInterface", "QImageIOPlugin", "QImageReader", |
3908 |
- "QImageTextKeyLang", "QImageWriter", "QIncompatibleFlag", |
3909 |
- "QInputContext", "QInputContextFactory", |
3910 |
- "QInputContextFactoryInterface", "QInputContextPlugin", |
3911 |
- "QInputDialog", "QInputEvent", "QInputMethodEvent", "Q_INT16", |
3912 |
- "Q_INT32", "Q_INT64", "Q_INT8", "QInternal", "QIntForSize", |
3913 |
- "QIntForType", "QIntValidator", "QIODevice", "Q_IPV6ADDR", |
3914 |
- "QIPv6Address", "QItemDelegate", "QItemEditorCreator", |
3915 |
- "QItemEditorCreatorBase", "QItemEditorFactory", "QItemSelection", |
3916 |
- "QItemSelectionModel", "QItemSelectionRange", "QKeyEvent", |
3917 |
- "QKeySequence", "QLabel", "QLatin1Char", "QLatin1String", "QLayout", |
3918 |
- "QLayoutItem", "QLayoutIterator", "QLCDNumber", "QLibrary", |
3919 |
- "QLibraryInfo", "QLine", "QLinearGradient", "QLineEdit", "QLineF", |
3920 |
- "QLinkedList", "QLinkedListData", "QLinkedListIterator", |
3921 |
- "QLinkedListNode", "QList", "QListData", "QListIterator", |
3922 |
- "QListView", "QListWidget", "QListWidgetItem", "Q_LLONG", "QLocale", |
3923 |
- "QLocalServer", "QLocalSocket", "Q_LONG", "QMacCompatGLenum", |
3924 |
- "QMacCompatGLint", "QMacCompatGLuint", "QMacGLCompatTypes", |
3925 |
- "QMacMime", "QMacPasteboardMime", "QMainWindow", "QMap", "QMapData", |
3926 |
- "QMapIterator", "QMapNode", "QMapPayloadNode", "QMatrix", |
3927 |
- "QMdiArea", "QMdiSubWindow", "QMenu", "QMenuBar", |
3928 |
- "QMenubarUpdatedEvent", "QMenuItem", "QMessageBox", |
3929 |
- "QMetaClassInfo", "QMetaEnum", "QMetaMethod", "QMetaObject", |
3930 |
- "QMetaObjectExtraData", "QMetaProperty", "QMetaType", "QMetaTypeId", |
3931 |
- "QMetaTypeId2", "QMimeData", "QMimeSource", "QModelIndex", |
3932 |
- "QModelIndexList", "QMotifStyle", "QMouseEvent", "QMoveEvent", |
3933 |
- "QMovie", "QMultiHash", "QMultiMap", "QMutableFutureIterator", |
3934 |
- "QMutableHashIterator", "QMutableLinkedListIterator", |
3935 |
- "QMutableListIterator", "QMutableMapIterator", |
3936 |
- "QMutableSetIterator", "QMutableStringListIterator", |
3937 |
- "QMutableVectorIterator", "QMutex", "QMutexLocker", "QMYSQLDriver", |
3938 |
- "QMYSQLResult", "QNetworkAccessManager", "QNetworkAddressEntry", |
3939 |
- "QNetworkCacheMetaData", "QNetworkCookie", "QNetworkCookieJar", |
3940 |
- "QNetworkDiskCache", "QNetworkInterface", "QNetworkProxy", |
3941 |
- "QNetworkProxyFactory", "QNetworkProxyQuery", "QNetworkReply", |
3942 |
- "QNetworkRequest", "QNoDebug", "QNoImplicitBoolCast", "QObject", |
3943 |
- "QObjectCleanupHandler", "QObjectData", "QObjectList", |
3944 |
- "QObjectUserData", "QOCIDriver", "QOCIResult", "QODBCDriver", |
3945 |
- "QODBCResult", "QPageSetupDialog", "QPaintDevice", "QPaintEngine", |
3946 |
- "QPaintEngineState", "QPainter", "QPainterPath", |
3947 |
- "QPainterPathPrivate", "QPainterPathStroker", "QPaintEvent", |
3948 |
- "QPair", "QPalette", "QPen", "QPersistentModelIndex", "QPicture", |
3949 |
- "QPictureFormatInterface", "QPictureFormatPlugin", "QPictureIO", |
3950 |
- "Q_PID", "QPixmap", "QPixmapCache", "QPlainTextDocumentLayout", |
3951 |
- "QPlainTextEdit", "QPlastiqueStyle", "QPluginLoader", "QPoint", |
3952 |
- "QPointer", "QPointF", "QPolygon", "QPolygonF", "QPrintDialog", |
3953 |
- "QPrintEngine", "QPrinter", "QPrinterInfo", "QPrintPreviewDialog", |
3954 |
- "QPrintPreviewWidget", "QProcess", "QProgressBar", |
3955 |
- "QProgressDialog", "QProxyModel", "QPSQLDriver", "QPSQLResult", |
3956 |
- "QPushButton", "QQueue", "QRadialGradient", "QRadioButton", |
3957 |
- "QReadLocker", "QReadWriteLock", "QRect", "QRectF", "QRegExp", |
3958 |
- "QRegExpValidator", "QRegion", "QResizeEvent", "QResource", |
3959 |
- "QReturnArgument", "QRgb", "QRubberBand", "QRunnable", |
3960 |
- "QScriptable", "QScriptClass", "QScriptClassPropertyIterator", |
3961 |
- "QScriptContext", "QScriptContextInfo", "QScriptContextInfoList", |
3962 |
- "QScriptEngine", "QScriptEngineAgent", "QScriptEngineDebugger", |
3963 |
- "QScriptExtensionInterface", "QScriptExtensionPlugin", |
3964 |
- "QScriptString", "QScriptSyntaxCheckResult", "QScriptValue", |
3965 |
- "QScriptValueIterator", "QScriptValueList", "QScrollArea", |
3966 |
- "QScrollBar", "QSemaphore", "QSessionManager", "QSet", |
3967 |
- "QSetIterator", "QSettings", "QSharedData", "QSharedDataPointer", |
3968 |
- "QSharedMemory", "QSharedPointer", "QShortcut", "QShortcutEvent", |
3969 |
- "QShowEvent", "QSignalMapper", "QSignalSpy", "QSimpleXmlNodeModel", |
3970 |
- "QSize", "QSizeF", "QSizeGrip", "QSizePolicy", "QSlider", |
3971 |
- "QSocketNotifier", "QSortFilterProxyModel", "QSound", |
3972 |
- "QSourceLocation", "QSpacerItem", "QSpinBox", "QSplashScreen", |
3973 |
- "QSplitter", "QSplitterHandle", "QSpontaneKeyEvent", "QSqlDatabase", |
3974 |
- "QSqlDriver", "QSqlDriverCreator", "QSqlDriverCreatorBase", |
3975 |
- "QSqlDriverFactoryInterface", "QSqlDriverPlugin", "QSqlError", |
3976 |
- "QSqlField", "QSqlIndex", "QSQLite2Driver", "QSQLite2Result", |
3977 |
- "QSQLiteDriver", "QSQLiteResult", "QSqlQuery", "QSqlQueryModel", |
3978 |
- "QSqlRecord", "QSqlRelation", "QSqlRelationalDelegate", |
3979 |
- "QSqlRelationalTableModel", "QSqlResult", "QSqlTableModel", "QSsl", |
3980 |
- "QSslCertificate", "QSslCipher", "QSslConfiguration", "QSslError", |
3981 |
- "QSslKey", "QSslSocket", "QStack", "QStackedLayout", |
3982 |
- "QStackedWidget", "QStandardItem", "QStandardItemEditorCreator", |
3983 |
- "QStandardItemModel", "QStatusBar", "QStatusTipEvent", |
3984 |
- "QStdWString", "QString", "QStringList", "QStringListIterator", |
3985 |
- "QStringListModel", "QStringMatcher", "QStringRef", "QStyle", |
3986 |
- "QStyledItemDelegate", "QStyleFactory", "QStyleFactoryInterface", |
3987 |
- "QStyleHintReturn", "QStyleHintReturnMask", |
3988 |
- "QStyleHintReturnVariant", "QStyleOption", "QStyleOptionButton", |
3989 |
- "QStyleOptionComboBox", "QStyleOptionComplex", |
3990 |
- "QStyleOptionDockWidget", "QStyleOptionDockWidgetV2", |
3991 |
- "QStyleOptionFocusRect", "QStyleOptionFrame", "QStyleOptionFrameV2", |
3992 |
- "QStyleOptionFrameV3", "QStyleOptionGraphicsItem", |
3993 |
- "QStyleOptionGroupBox", "QStyleOptionHeader", |
3994 |
- "QStyleOptionMenuItem", "QStyleOptionProgressBar", |
3995 |
- "QStyleOptionProgressBarV2", "QStyleOptionQ3DockWindow", |
3996 |
- "QStyleOptionQ3ListView", "QStyleOptionQ3ListViewItem", |
3997 |
- "QStyleOptionRubberBand", "QStyleOptionSizeGrip", |
3998 |
- "QStyleOptionSlider", "QStyleOptionSpinBox", "QStyleOptionTab", |
3999 |
- "QStyleOptionTabBarBase", "QStyleOptionTabBarBaseV2", |
4000 |
- "QStyleOptionTabV2", "QStyleOptionTabV3", |
4001 |
- "QStyleOptionTabWidgetFrame", "QStyleOptionTitleBar", |
4002 |
- "QStyleOptionToolBar", "QStyleOptionToolBox", |
4003 |
- "QStyleOptionToolBoxV2", "QStyleOptionToolButton", |
4004 |
- "QStyleOptionViewItem", "QStyleOptionViewItemV2", |
4005 |
- "QStyleOptionViewItemV3", "QStyleOptionViewItemV4", "QStylePainter", |
4006 |
- "QStylePlugin", "QSvgGenerator", "QSvgRenderer", "QSvgWidget", |
4007 |
- "QSyntaxHighlighter", "QSysInfo", "QSystemLocale", |
4008 |
- "QSystemSemaphore", "QSystemTrayIcon", "Qt", "Qt3Support", |
4009 |
- "QTabBar", "QTabletEvent", "QTableView", "QTableWidget", |
4010 |
- "QTableWidgetItem", "QTableWidgetSelectionRange", "QTabWidget", |
4011 |
- "QtAlgorithms", "QtAssistant", "QtCleanUpFunction", |
4012 |
- "QtConcurrentFilter", "QtConcurrentMap", "QtConcurrentRun", |
4013 |
- "QtContainerFwd", "QtCore", "QTcpServer", "QTcpSocket", "QtDBus", |
4014 |
- "QtDebug", "QtDesigner", "QTDSDriver", "QTDSResult", |
4015 |
- "QTemporaryFile", "QtEndian", "QTest", "QTestAccessibility", |
4016 |
- "QTestAccessibilityEvent", "QTestData", "QTestDelayEvent", |
4017 |
- "QTestEvent", "QTestEventList", "QTestEventLoop", |
4018 |
- "QTestKeyClicksEvent", "QTestKeyEvent", "QTestMouseEvent", |
4019 |
- "QtEvents", "QTextBlock", "QTextBlockFormat", "QTextBlockGroup", |
4020 |
- "QTextBlockUserData", "QTextBoundaryFinder", "QTextBrowser", |
4021 |
- "QTextCharFormat", "QTextCodec", "QTextCodecFactoryInterface", |
4022 |
- "QTextCodecPlugin", "QTextCursor", "QTextDecoder", "QTextDocument", |
4023 |
- "QTextDocumentFragment", "QTextDocumentWriter", "QTextEdit", |
4024 |
- "QTextEncoder", "QTextFormat", "QTextFragment", "QTextFrame", |
4025 |
- "QTextFrameFormat", "QTextFrameLayoutData", "QTextImageFormat", |
4026 |
- "QTextInlineObject", "QTextIStream", "QTextItem", "QTextLayout", |
4027 |
- "QTextLength", "QTextLine", "QTextList", "QTextListFormat", |
4028 |
- "QTextObject", "QTextObjectInterface", "QTextOption", |
4029 |
- "QTextOStream", "QTextStream", "QTextStreamFunction", |
4030 |
- "QTextStreamManipulator", "QTextTable", "QTextTableCell", |
4031 |
- "QTextTableCellFormat", "QTextTableFormat", "QtGlobal", "QtGui", |
4032 |
- "QtHelp", "QThread", "QThreadPool", "QThreadStorage", |
4033 |
- "QThreadStorageData", "QTime", "QTimeEdit", "QTimeLine", "QTimer", |
4034 |
- "QTimerEvent", "QtMsgHandler", "QtNetwork", "QToolBar", |
4035 |
- "QToolBarChangeEvent", "QToolBox", "QToolButton", "QToolTip", |
4036 |
- "QtOpenGL", "QtPlugin", "QtPluginInstanceFunction", "QTransform", |
4037 |
- "QTranslator", "QTreeView", "QTreeWidget", "QTreeWidgetItem", |
4038 |
- "QTreeWidgetItemIterator", "QTS", "QtScript", "QtScriptTools", |
4039 |
- "QtSql", "QtSvg", "QtTest", "QtUiTools", "QtWebKit", "QtXml", |
4040 |
- "QtXmlPatterns", "QTypeInfo", "QUdpSocket", "QUiLoader", |
4041 |
- "QUintForSize", "QUintForType", "QUndoCommand", "QUndoGroup", |
4042 |
- "QUndoStack", "QUndoView", "QUnixPrintWidget", "QUpdateLaterEvent", |
4043 |
- "QUrl", "QUrlInfo", "QUuid", "QValidator", "QVariant", |
4044 |
- "QVariantComparisonHelper", "QVariantHash", "QVariantList", |
4045 |
- "QVariantMap", "QVarLengthArray", "QVBoxLayout", "QVector", |
4046 |
- "QVectorData", "QVectorIterator", "QVectorTypedData", |
4047 |
- "QWaitCondition", "QWeakPointer", "QWebDatabase", "QWebFrame", |
4048 |
- "QWebHistory", "QWebHistoryInterface", "QWebHistoryItem", |
4049 |
- "QWebHitTestResult", "QWebPage", "QWebPluginFactory", |
4050 |
- "QWebSecurityOrigin", "QWebSettings", "QWebView", "QWhatsThis", |
4051 |
- "QWhatsThisClickedEvent", "QWheelEvent", "QWidget", "QWidgetAction", |
4052 |
- "QWidgetData", "QWidgetItem", "QWidgetItemV2", "QWidgetList", |
4053 |
- "QWidgetMapper", "QWidgetSet", "QWindowsCEStyle", "QWindowsMime", |
4054 |
- "QWindowsMobileStyle", "QWindowsStyle", "QWindowStateChangeEvent", |
4055 |
- "QWindowsVistaStyle", "QWindowsXPStyle", "QWizard", "QWizardPage", |
4056 |
- "QWMatrix", "QWorkspace", "QWriteLocker", "QX11EmbedContainer", |
4057 |
- "QX11EmbedWidget", "QX11Info", "QXmlAttributes", |
4058 |
- "QXmlContentHandler", "QXmlDeclHandler", "QXmlDefaultHandler", |
4059 |
- "QXmlDTDHandler", "QXmlEntityResolver", "QXmlErrorHandler", |
4060 |
- "QXmlFormatter", "QXmlInputSource", "QXmlItem", |
4061 |
- "QXmlLexicalHandler", "QXmlLocator", "QXmlName", "QXmlNamePool", |
4062 |
- "QXmlNamespaceSupport", "QXmlNodeModelIndex", "QXmlParseException", |
4063 |
- "QXmlQuery", "QXmlReader", "QXmlResultItems", "QXmlSerializer", |
4064 |
- "QXmlSimpleReader", "QXmlStreamAttribute", "QXmlStreamAttributes", |
4065 |
- "QXmlStreamEntityDeclaration", "QXmlStreamEntityDeclarations", |
4066 |
- "QXmlStreamEntityResolver", "QXmlStreamNamespaceDeclaration", |
4067 |
- "QXmlStreamNamespaceDeclarations", "QXmlStreamNotationDeclaration", |
4068 |
- "QXmlStreamNotationDeclarations", "QXmlStreamReader", |
4069 |
- "QXmlStreamStringRef", "QXmlStreamWriter" |
4070 |
+ 'Q_INT8', 'Q_INT16', 'Q_INT32', 'Q_INT64', 'Q_LLONG', 'Q_LONG', |
4071 |
+ 'Q_UINT8', 'Q_UINT16', 'Q_UINT32', 'Q_UINT64', 'Q_ULLONG', 'Q_ULONG', |
4072 |
+ |
4073 |
+ 'QAbstractAnimation', 'QAbstractButton', 'QAbstractEventDispatcher', |
4074 |
+ 'QAbstractExtensionFactory', 'QAbstractExtensionManager', |
4075 |
+ 'QAbstractFormBuilder', 'QAbstractGraphicsShapeItem', |
4076 |
+ 'QAbstractItemDelegate', 'QAbstractItemModel', 'QAbstractItemView', |
4077 |
+ 'QAbstractListModel', 'QAbstractMessageHandler', |
4078 |
+ 'QAbstractNativeEventFilter', 'QAbstractNetworkCache', |
4079 |
+ 'QAbstractOpenGLFunctions', 'QAbstractPlanarVideoBuffer', |
4080 |
+ 'QAbstractPrintDialog', 'QAbstractProxyModel', 'QAbstractScrollArea', |
4081 |
+ 'QAbstractSlider', 'QAbstractSocket', 'QAbstractSpinBox', |
4082 |
+ 'QAbstractState', 'QAbstractTableModel', |
4083 |
+ 'QAbstractTextDocumentLayout', 'QAbstractTransition', |
4084 |
+ 'QAbstractUriResolver', 'QAbstractVideoBuffer', |
4085 |
+ 'QAbstractVideoSurface', 'QAbstractXmlNodeModel', |
4086 |
+ 'QAbstractXmlReceiver', 'QAccelerometer', 'QAccelerometerFilter', |
4087 |
+ 'QAccelerometerReading', 'QAccessible', 'QAccessibleActionInterface', |
4088 |
+ 'QAccessibleEditableTextInterface', 'QAccessibleEvent', |
4089 |
+ 'QAccessibleInterface', 'QAccessibleObject', 'QAccessiblePlugin', |
4090 |
+ 'QAccessibleStateChangeEvent', 'QAccessibleTableCellInterface', |
4091 |
+ 'QAccessibleTableInterface', 'QAccessibleTableModelChangeEvent', |
4092 |
+ 'QAccessibleTextCursorEvent', 'QAccessibleTextInsertEvent', |
4093 |
+ 'QAccessibleTextInterface', 'QAccessibleTextRemoveEvent', |
4094 |
+ 'QAccessibleTextSelectionEvent', 'QAccessibleTextUpdateEvent', |
4095 |
+ 'QAccessibleValueChangeEvent', 'QAccessibleValueInterface', |
4096 |
+ 'QAccessibleWidget', 'QAction', 'QActionEvent', 'QActionGroup', |
4097 |
+ 'QAltimeter', 'QAltimeterFilter', 'QAltimeterReading', |
4098 |
+ 'QAmbientLightFilter','QAmbientLightReading', 'QAmbientLightSensor', |
4099 |
+ 'QAmbientTemperatureFilter', 'QAmbientTemperatureReading', |
4100 |
+ 'QAmbientTemperatureSensor', 'QAndroidActivityResultReceiver', |
4101 |
+ 'QAndroidJniEnvironment', 'QAndroidJniObject', 'QAnimationGroup', |
4102 |
+ 'QApplication', 'QAssociativeIterable', 'QAtomicInt', |
4103 |
+ 'QAtomicInteger', 'QAtomicPointer', 'QAudioBuffer', 'QAudioDecoder', |
4104 |
+ 'QAudioDecoderControl', 'QAudioDeviceInfo', 'QAudioEncoderSettings', |
4105 |
+ 'QAudioEncoderSettingsControl', 'QAudioFormat', 'QAudioInput', |
4106 |
+ 'QAudioInputSelectorControl', 'QAudioOutput', |
4107 |
+ 'QAudioOutputSelectorControl', 'QAudioProbe', 'QAudioRecorder', |
4108 |
+ 'QAuthenticator', 'QAxAggregated', 'QAxBase', 'QAxBindable', |
4109 |
+ 'QAxFactory', 'QAxObject', 'QAxScript', 'QAxScriptEngine', |
4110 |
+ 'QAxScriptManager', 'QAxSelect', 'QAxWidget', 'QBackingStore', |
4111 |
+ 'QBasicTimer', 'QBitArray', 'QBitmap', 'QBluetoothAddress', |
4112 |
+ 'QBluetoothDeviceDiscoveryAgent', 'QBluetoothDeviceInfo', |
4113 |
+ 'QBluetoothHostInfo', 'QBluetoothLocalDevice', 'QBluetoothServer', |
4114 |
+ 'QBluetoothServiceDiscoveryAgent', 'QBluetoothServiceInfo', |
4115 |
+ 'QBluetoothSocket', 'QBluetoothTransferManager', |
4116 |
+ 'QBluetoothTransferReply', 'QBluetoothTransferRequest', |
4117 |
+ 'QBluetoothUuid', 'QBoxLayout', 'QBrush', 'QBuffer', 'QButtonGroup', |
4118 |
+ 'QByteArray', 'QByteArrayList', 'QByteArrayMatcher', 'QCache', |
4119 |
+ 'QCalendarWidget', 'QCamera', 'QCameraCaptureBufferFormatControl', |
4120 |
+ 'QCameraCaptureDestinationControl', 'QCameraControl', |
4121 |
+ 'QCameraExposure', 'QCameraExposureControl', 'QCameraFeedbackControl', |
4122 |
+ 'QCameraFlashControl', 'QCameraFocus', 'QCameraFocusControl', |
4123 |
+ 'QCameraFocusZone', 'QCameraImageCapture', |
4124 |
+ 'QCameraImageCaptureControl', 'QCameraImageProcessing', |
4125 |
+ 'QCameraImageProcessingControl', 'QCameraInfo', 'QCameraInfoControl', |
4126 |
+ 'QCameraLocksControl', 'QCameraViewfinder', |
4127 |
+ 'QCameraViewfinderSettingsControl', 'QCameraZoomControl', 'QChar', |
4128 |
+ 'QCheckBox', 'QChildEvent', 'QClipboard', 'QCloseEvent', |
4129 |
+ 'QCocoaNativeContext', 'QCollator', 'QCollatorSortKey', 'QColor', |
4130 |
+ 'QColorDialog', 'QColormap', 'QColumnView', 'QComboBox', |
4131 |
+ 'QCommandLineOption', 'QCommandLineParser', 'QCommandLinkButton', |
4132 |
+ 'QCommonStyle', 'QCompass', 'QCompassFilter', 'QCompassReading', |
4133 |
+ 'QCompleter', 'QConicalGradient', 'QContextMenuEvent', |
4134 |
+ 'QContiguousCache', 'QCoreApplication', 'QCryptographicHash', |
4135 |
+ 'QCursor', 'QDBusAbstractAdaptor', 'QDBusAbstractInterface', |
4136 |
+ 'QDBusArgument', 'QDBusConnection', 'QDBusConnectionInterface', |
4137 |
+ 'QDBusContext', 'QDBusError', 'QDBusInterface', 'QDBusMessage', |
4138 |
+ 'QDBusObjectPath', 'QDBusPendingCall', 'QDBusPendingCallWatcher', |
4139 |
+ 'QDBusPendingReply', 'QDBusReply', 'QDBusServer', |
4140 |
+ 'QDBusServiceWatcher', 'QDBusSignature', 'QDBusUnixFileDescriptor', |
4141 |
+ 'QDBusVariant', 'QDBusVirtualObject', 'QDataStream', |
4142 |
+ 'QDataWidgetMapper', 'QDate', 'QDateEdit', 'QDateTime', |
4143 |
+ 'QDateTimeEdit', 'QDebug', 'QDebugStateSaver', |
4144 |
+ 'QDesignerActionEditorInterface', 'QDesignerContainerExtension', |
4145 |
+ 'QDesignerCustomWidgetCollectionInterface', |
4146 |
+ 'QDesignerCustomWidgetInterface', |
4147 |
+ 'QDesignerDynamicPropertySheetExtension', |
4148 |
+ 'QDesignerFormEditorInterface', 'QDesignerFormWindowCursorInterface', |
4149 |
+ 'QDesignerFormWindowInterface', 'QDesignerFormWindowManagerInterface', |
4150 |
+ 'QDesignerMemberSheetExtension', 'QDesignerObjectInspectorInterface', |
4151 |
+ 'QDesignerPropertyEditorInterface', 'QDesignerPropertySheetExtension', |
4152 |
+ 'QDesignerTaskMenuExtension', 'QDesignerWidgetBoxInterface', |
4153 |
+ 'QDesktopServices', 'QDesktopWidget', 'QDial', 'QDialog', |
4154 |
+ 'QDialogButtonBox', 'QDir', 'QDirIterator', 'QDirModel', |
4155 |
+ 'QDistanceFilter', 'QDistanceReading', 'QDistanceSensor', |
4156 |
+ 'QDnsDomainNameRecord', 'QDnsHostAddressRecord', 'QDnsLookup', |
4157 |
+ 'QDnsMailExchangeRecord', 'QDnsServiceRecord', 'QDnsTextRecord', |
4158 |
+ 'QDockWidget', 'QDomAttr', 'QDomCDATASection', 'QDomCharacterData', |
4159 |
+ 'QDomComment', 'QDomDocument', 'QDomDocumentFragment', |
4160 |
+ 'QDomDocumentType', 'QDomElement', 'QDomEntity', 'QDomEntityReference', |
4161 |
+ 'QDomImplementation', 'QDomNamedNodeMap', 'QDomNode', 'QDomNodeList', |
4162 |
+ 'QDomNotation', 'QDomProcessingInstruction', 'QDomText', |
4163 |
+ 'QDoubleSpinBox', 'QDoubleValidator', 'QDrag', 'QDragEnterEvent', |
4164 |
+ 'QDragLeaveEvent', 'QDragMoveEvent', 'QDropEvent', |
4165 |
+ 'QDynamicPropertyChangeEvent', 'EnginioClient', |
4166 |
+ 'EnginioClientConnection', 'EnginioIdentity', 'EnginioModel', |
4167 |
+ 'EnginioOAuth2Authentication', 'EnginioReply', 'QEGLNativeContext', |
4168 |
+ 'QEasingCurve', 'QEglFSFunctions', 'QElapsedTimer', |
4169 |
+ 'QEnableSharedFromThis', 'QEnterEvent', 'QErrorMessage', 'QEvent', |
4170 |
+ 'QEventLoop', 'QEventLoopLocker', 'QEventTransition', 'QException', |
4171 |
+ 'QExplicitlySharedDataPointer', 'QExposeEvent', 'QExtensionFactory', |
4172 |
+ 'QExtensionManager', 'QFile', 'QFileDevice', 'QFileDialog', |
4173 |
+ 'QFileIconProvider', 'QFileInfo', 'QFileOpenEvent', 'QFileSelector', |
4174 |
+ 'QFileSystemModel', 'QFileSystemWatcher', 'QFinalState', 'QFlag', |
4175 |
+ 'QFlags', 'QFocusEvent', 'QFocusFrame', 'QFont', 'QFontComboBox', |
4176 |
+ 'QFontDatabase', 'QFontDialog', 'QFontInfo', 'QFontMetrics', |
4177 |
+ 'QFontMetricsF', 'QFormBuilder', 'QFormLayout', 'QFrame', 'QFuture', |
4178 |
+ 'QFutureIterator', 'QFutureSynchronizer', 'QFutureWatcher', |
4179 |
+ 'QGLBuffer', 'QGLColormap', 'QGLContext', 'QGLFormat', |
4180 |
+ 'QGLFramebufferObject', 'QGLFramebufferObjectFormat', 'QGLFunctions', |
4181 |
+ 'QGLPixelBuffer', 'QGLShader', 'QGLShaderProgram', 'QGLWidget', |
4182 |
+ 'QGLXNativeContext', 'QGenericArgument', 'QGenericMatrix', |
4183 |
+ 'QGenericPlugin', 'QGenericPluginFactory', 'QGenericReturnArgument', |
4184 |
+ 'QGeoAddress', 'QGeoAreaMonitorInfo', 'QGeoAreaMonitorSource', |
4185 |
+ 'QGeoCircle', 'QGeoCodeReply', 'QGeoCodingManager', |
4186 |
+ 'QGeoCodingManagerEngine', 'QGeoCoordinate', 'QGeoLocation', |
4187 |
+ 'QGeoManeuver', 'QGeoPositionInfo', 'QGeoPositionInfoSource', |
4188 |
+ 'QGeoPositionInfoSourceFactory', 'QGeoRectangle', 'QGeoRoute', |
4189 |
+ 'QGeoRouteReply', 'QGeoRouteRequest', 'QGeoRouteSegment', |
4190 |
+ 'QGeoRoutingManager', 'QGeoRoutingManagerEngine', |
4191 |
+ 'QGeoSatelliteInfo', 'QGeoSatelliteInfoSource', |
4192 |
+ 'QGeoServiceProvider', 'QGeoServiceProviderFactory', 'QGeoShape', |
4193 |
+ 'QGesture', 'QGestureEvent', 'QGestureRecognizer', 'QGlobalStatic', |
4194 |
+ 'QGlyphRun', 'QGradient', 'QGraphicsAnchor', 'QGraphicsAnchorLayout', |
4195 |
+ 'QGraphicsBlurEffect', 'QGraphicsColorizeEffect', |
4196 |
+ 'QGraphicsDropShadowEffect', 'QGraphicsEffect', |
4197 |
+ 'QGraphicsEllipseItem', 'QGraphicsGridLayout', 'QGraphicsItem', |
4198 |
+ 'QGraphicsItemAnimation', 'QGraphicsItemGroup', 'QGraphicsLayout', |
4199 |
+ 'QGraphicsLayoutItem', 'QGraphicsLineItem', 'QGraphicsLinearLayout', |
4200 |
+ 'QGraphicsObject', 'QGraphicsOpacityEffect', 'QGraphicsPathItem', |
4201 |
+ 'QGraphicsPixmapItem', 'QGraphicsPolygonItem', |
4202 |
+ 'QGraphicsProxyWidget', 'QGraphicsRectItem', 'QGraphicsRotation', |
4203 |
+ 'QGraphicsScale', 'QGraphicsScene', 'QGraphicsSceneContextMenuEvent', |
4204 |
+ 'QGraphicsSceneDragDropEvent', 'QGraphicsSceneEvent', |
4205 |
+ 'QGraphicsSceneHelpEvent', 'QGraphicsSceneHoverEvent', |
4206 |
+ 'QGraphicsSceneMouseEvent', 'QGraphicsSceneMoveEvent', |
4207 |
+ 'QGraphicsSceneResizeEvent', 'QGraphicsSceneWheelEvent', |
4208 |
+ 'QGraphicsSimpleTextItem', 'QGraphicsSvgItem', 'QGraphicsTextItem', |
4209 |
+ 'QGraphicsTransform', 'QGraphicsVideoItem', 'QGraphicsView', |
4210 |
+ 'QGraphicsWebView', 'QGraphicsWidget', 'QGridLayout', 'QGroupBox', |
4211 |
+ 'QGuiApplication', 'QGyroscope', 'QGyroscopeFilter', |
4212 |
+ 'QGyroscopeReading', 'QHBoxLayout', 'QHash', 'QHashIterator', |
4213 |
+ 'QHeaderView', 'QHelpContentItem', 'QHelpContentModel', |
4214 |
+ 'QHelpContentWidget', 'QHelpEngine', 'QHelpEngineCore', 'QHelpEvent', |
4215 |
+ 'QHelpIndexModel', 'QHelpIndexWidget', 'QHelpSearchEngine', |
4216 |
+ 'QHelpSearchQuery', 'QHelpSearchQueryWidget', |
4217 |
+ 'QHelpSearchResultWidget', 'QHideEvent', 'QHistoryState', |
4218 |
+ 'QHolsterFilter', 'QHolsterReading', 'QHolsterSensor', |
4219 |
+ 'QHostAddress', 'QHostInfo', 'QHoverEvent', 'QHttpMultiPart', |
4220 |
+ 'QHttpPart', 'QIODevice', 'QIRProximityFilter', 'QIRProximityReading', |
4221 |
+ 'QIRProximitySensor', 'QIcon', 'QIconDragEvent', 'QIconEngine', |
4222 |
+ 'QIconEnginePlugin', 'QIdentityProxyModel', 'QImage', |
4223 |
+ 'QImageEncoderControl', 'QImageEncoderSettings', 'QImageIOHandler', |
4224 |
+ 'QImageIOPlugin', 'QImageReader', 'QImageWriter', 'QInputDialog', |
4225 |
+ 'QInputEvent', 'QInputMethod', 'QInputMethodEvent', |
4226 |
+ 'QInputMethodQueryEvent', 'QIntValidator', 'QItemDelegate', |
4227 |
+ 'QItemEditorCreator', 'QItemEditorCreatorBase', 'QItemEditorFactory', |
4228 |
+ 'QItemSelection', 'QItemSelectionModel', 'QItemSelectionRange', |
4229 |
+ 'QJSEngine', 'QJSValue', 'QJSValueIterator', 'QJsonArray', |
4230 |
+ 'QJsonDocument', 'QJsonObject', 'QJsonParseError', 'QJsonValue', |
4231 |
+ 'QKeyEvent', 'QKeyEventTransition', 'QKeySequence', 'QKeySequenceEdit', |
4232 |
+ 'QLCDNumber', 'QLabel', 'QLatin1Char', 'QLatin1String', 'QLayout', |
4233 |
+ 'QLayoutItem', 'QLibrary', 'QLibraryInfo', 'QLightFilter', |
4234 |
+ 'QLightReading', 'QLightSensor', 'QLine', 'QLineEdit', 'QLineF', |
4235 |
+ 'QLinearGradient', 'QLinkedList', 'QLinkedListIterator', 'QList', |
4236 |
+ 'QListIterator', 'QListView', 'QListWidget', 'QListWidgetItem', |
4237 |
+ 'QLocalServer', 'QLocalSocket', 'QLocale', 'QLockFile', |
4238 |
+ 'QLoggingCategory', 'QLowEnergyCharacteristic', |
4239 |
+ 'QLowEnergyController', 'QLowEnergyDescriptor', 'QLowEnergyService', |
4240 |
+ 'QMacCocoaViewContainer', 'QMacNativeWidget', 'QMacPasteboardMime', |
4241 |
+ 'QMacToolBar', 'QMacToolBarItem', 'QMagnetometer', |
4242 |
+ 'QMagnetometerFilter', 'QMagnetometerReading', 'QMainWindow', 'QMap', |
4243 |
+ 'QMapIterator', 'QMargins', 'QMarginsF', 'QMaskGenerator', 'QMatrix', |
4244 |
+ 'QMatrix4x4', 'QMdiArea', 'QMdiSubWindow', 'QMediaAudioProbeControl', |
4245 |
+ 'QMediaAvailabilityControl', 'QMediaBindableInterface', |
4246 |
+ 'QMediaContainerControl', 'QMediaContent', 'QMediaControl', |
4247 |
+ 'QMediaGaplessPlaybackControl', 'QMediaNetworkAccessControl', |
4248 |
+ 'QMediaObject', 'QMediaPlayer', 'QMediaPlayerControl', |
4249 |
+ 'QMediaPlaylist', 'QMediaRecorder', 'QMediaRecorderControl', |
4250 |
+ 'QMediaResource', 'QMediaService', 'QMediaServiceCameraInfoInterface', |
4251 |
+ 'QMediaServiceFeaturesInterface', 'QMediaServiceProviderPlugin', |
4252 |
+ 'QMediaServiceSupportedDevicesInterface', |
4253 |
+ 'QMediaServiceSupportedFormatsInterface', 'QMediaStreamsControl', |
4254 |
+ 'QMediaTimeInterval', 'QMediaTimeRange', 'QMediaVideoProbeControl', |
4255 |
+ 'QMenu', 'QMenuBar', 'QMessageAuthenticationCode', 'QMessageBox', |
4256 |
+ 'QMessageLogContext', 'QMessageLogger', 'QMetaClassInfo', |
4257 |
+ 'QMetaDataReaderControl', 'QMetaDataWriterControl', 'QMetaEnum', |
4258 |
+ 'QMetaMethod', 'QMetaObject', 'QMetaProperty', 'QMetaType', |
4259 |
+ 'QMimeData', 'QMimeDatabase', 'QMimeType', 'QModelIndex', |
4260 |
+ 'QMouseEvent', 'QMouseEventTransition', 'QMoveEvent', 'QMovie', |
4261 |
+ 'QMultiHash', 'QMultiMap', 'QMutableHashIterator', |
4262 |
+ 'QMutableLinkedListIterator', 'QMutableListIterator', |
4263 |
+ 'QMutableMapIterator', 'QMutableSetIterator', |
4264 |
+ 'QMutableVectorIterator', 'QMutex', 'QMutexLocker', |
4265 |
+ 'QNativeGestureEvent', 'QNdefFilter', 'QNdefMessage', |
4266 |
+ 'QNdefNfcSmartPosterRecord', 'QNdefNfcTextRecord', |
4267 |
+ 'QNdefNfcUriRecord', 'QNdefRecord', 'QNearFieldManager', |
4268 |
+ 'QNearFieldShareManager', 'QNearFieldShareTarget', 'QNearFieldTarget', |
4269 |
+ 'QNetworkAccessManager', 'QNetworkAddressEntry', |
4270 |
+ 'QNetworkCacheMetaData', 'QNetworkConfiguration', |
4271 |
+ 'QNetworkConfigurationManager', 'QNetworkCookie', 'QNetworkCookieJar', |
4272 |
+ 'QNetworkDiskCache', 'QNetworkInterface', 'QNetworkProxy', |
4273 |
+ 'QNetworkProxyFactory', 'QNetworkProxyQuery', 'QNetworkReply', |
4274 |
+ 'QNetworkRequest', 'QNetworkSession', 'QNmeaPositionInfoSource', |
4275 |
+ 'QObject', 'QObjectCleanupHandler', 'QOffscreenSurface', |
4276 |
+ 'QOpenGLBuffer', 'QOpenGLContext', 'QOpenGLContextGroup', |
4277 |
+ 'QOpenGLDebugLogger', 'QOpenGLDebugMessage', |
4278 |
+ 'QOpenGLFramebufferObject', 'QOpenGLFramebufferObjectFormat', |
4279 |
+ 'QOpenGLFunctions', 'QOpenGLFunctions_1_0', 'QOpenGLFunctions_1_1', |
4280 |
+ 'QOpenGLFunctions_1_2', 'QOpenGLFunctions_1_3', 'QOpenGLFunctions_1_4', |
4281 |
+ 'QOpenGLFunctions_1_5', 'QOpenGLFunctions_2_0', 'QOpenGLFunctions_2_1', |
4282 |
+ 'QOpenGLFunctions_3_0', 'QOpenGLFunctions_3_1', |
4283 |
+ 'QOpenGLFunctions_3_2_Compatibility', 'QOpenGLFunctions_3_2_Core', |
4284 |
+ 'QOpenGLFunctions_3_3_Compatibility', 'QOpenGLFunctions_3_3_Core', |
4285 |
+ 'QOpenGLFunctions_4_0_Compatibility', 'QOpenGLFunctions_4_0_Core', |
4286 |
+ 'QOpenGLFunctions_4_1_Compatibility', 'QOpenGLFunctions_4_1_Core', |
4287 |
+ 'QOpenGLFunctions_4_2_Compatibility', 'QOpenGLFunctions_4_2_Core', |
4288 |
+ 'QOpenGLFunctions_4_3_Compatibility', 'QOpenGLFunctions_4_3_Core', |
4289 |
+ 'QOpenGLFunctions_ES2', 'QOpenGLPaintDevice', |
4290 |
+ 'QOpenGLPixelTransferOptions', 'QOpenGLShader', |
4291 |
+ 'QOpenGLShaderProgram', 'QOpenGLTexture', 'QOpenGLTimeMonitor', |
4292 |
+ 'QOpenGLTimerQuery', 'QOpenGLVersionProfile', |
4293 |
+ 'QOpenGLVertexArrayObject', 'QOpenGLWidget', 'QOpenGLWindow', |
4294 |
+ 'QOrientationFilter', 'QOrientationReading', 'QOrientationSensor', |
4295 |
+ 'QPageLayout', 'QPageSetupDialog', 'QPageSize', 'QPagedPaintDevice', |
4296 |
+ 'QPaintDevice', 'QPaintDeviceWindow', 'QPaintEngine', |
4297 |
+ 'QPaintEngineState', 'QPaintEvent', 'QPainter', 'QPainterPath', |
4298 |
+ 'QPainterPathStroker', 'QPair', 'QPalette', 'QPanGesture', |
4299 |
+ 'QParallelAnimationGroup', 'QPauseAnimation', 'QPdfWriter', 'QPen', |
4300 |
+ 'QPersistentModelIndex', 'QPicture', 'QPictureFormatPlugin', |
4301 |
+ 'QPictureIO', 'QPinchGesture', 'QPixelFormat', 'QPixmap', |
4302 |
+ 'QPixmapCache', 'QPlace', 'QPlaceAttribute', 'QPlaceCategory', |
4303 |
+ 'QPlaceContactDetail', 'QPlaceContent', 'QPlaceContentReply', |
4304 |
+ 'QPlaceContentRequest', 'QPlaceDetailsReply', 'QPlaceEditorial', |
4305 |
+ 'QPlaceIcon', 'QPlaceIdReply', 'QPlaceImage', 'QPlaceManager', |
4306 |
+ 'QPlaceManagerEngine', 'QPlaceMatchReply', 'QPlaceMatchRequest', |
4307 |
+ 'QPlaceProposedSearchResult', 'QPlaceRatings', 'QPlaceReply', |
4308 |
+ 'QPlaceResult', 'QPlaceReview', 'QPlaceSearchReply', |
4309 |
+ 'QPlaceSearchRequest', 'QPlaceSearchResult', |
4310 |
+ 'QPlaceSearchSuggestionReply', 'QPlaceSupplier', 'QPlaceUser', |
4311 |
+ 'QPlainTextDocumentLayout', 'QPlainTextEdit', |
4312 |
+ 'QPlatformSystemTrayIcon', 'QPluginLoader', 'QPoint', 'QPointF', |
4313 |
+ 'QPointer', 'QPolygon', 'QPolygonF', 'QPressureFilter', |
4314 |
+ 'QPressureReading', 'QPressureSensor', 'QPrintDialog', 'QPrintEngine', |
4315 |
+ 'QPrintPreviewDialog', 'QPrintPreviewWidget', 'QPrinter', |
4316 |
+ 'QPrinterInfo', 'QProcess', 'QProcessEnvironment', 'QProgressBar', |
4317 |
+ 'QProgressDialog', 'QPropertyAnimation', 'QProximityFilter', |
4318 |
+ 'QProximityReading', 'QProximitySensor', 'QProxyStyle', |
4319 |
+ 'QPushButton', 'QQmlAbstractProfilerAdapter', |
4320 |
+ 'QQmlAbstractUrlInterceptor', 'QQmlApplicationEngine', |
4321 |
+ 'QQmlComponent', 'QQmlContext', 'QQmlEngine', 'QQmlError', |
4322 |
+ 'QQmlExpression', 'QQmlExtensionPlugin', 'QQmlFileSelector', |
4323 |
+ 'QQmlImageProviderBase', 'QQmlIncubationController', 'QQmlIncubator', |
4324 |
+ 'QQmlListProperty', 'QQmlListReference', 'QQmlNdefRecord', |
4325 |
+ 'QQmlNetworkAccessManagerFactory', 'QQmlParserStatus', 'QQmlProperty', |
4326 |
+ 'QQmlPropertyMap', 'QQmlPropertyValueSource', 'QQmlScriptString', |
4327 |
+ 'QQuaternion', 'QQueue', 'QQuickFramebufferObject', 'QQuickImageProvider', |
4328 |
+ 'QQuickItem', 'QQuickItemGrabResult', 'QQuickPaintedItem', |
4329 |
+ 'QQuickRenderControl', 'QQuickTextDocument', 'QQuickTextureFactory', |
4330 |
+ 'QQuickView', 'QQuickWidget', 'QQuickWindow', 'QTouchEventSequence', |
4331 |
+ 'Qoutputrange', 'QRadialGradient', 'QRadioButton', 'QRadioData', |
4332 |
+ 'QRadioDataControl', 'QRadioTuner', 'QRadioTunerControl', |
4333 |
+ 'QRasterPaintEngine', 'QRasterWindow', 'QRawFont', 'QReadLocker', |
4334 |
+ 'QReadWriteLock', 'QRect', 'QRectF', 'QRegExp', 'QRegExpValidator', |
4335 |
+ 'QRegion', 'QRegularExpression', 'QRegularExpressionMatch', |
4336 |
+ 'QRegularExpressionMatchIterator', 'QRegularExpressionValidator', |
4337 |
+ 'QResizeEvent', 'QResource', 'QRotationFilter', 'QRotationReading', |
4338 |
+ 'QRotationSensor', 'QRubberBand', 'QRunnable', 'QSGAbstractRenderer', |
4339 |
+ 'QSGBasicGeometryNode', 'QSGClipNode', 'QSGDynamicTexture', |
4340 |
+ 'QSGEngine', 'QSGFlatColorMaterial', 'QSGGeometry', 'QSGGeometryNode', |
4341 |
+ 'QSGMaterial', 'QSGMaterialShader', 'QSGMaterialType', 'QSGNode', |
4342 |
+ 'QSGOpacityNode', 'QSGOpaqueTextureMaterial', 'QSGSimpleMaterial', |
4343 |
+ 'QSGSimpleMaterialShader', 'QSGSimpleRectNode', |
4344 |
+ 'QSGSimpleTextureNode', 'QSGTexture', 'QSGTextureMaterial', |
4345 |
+ 'QSGTextureProvider', 'QSGTransformNode', 'QSGVertexColorMaterial', |
4346 |
+ 'QSaveFile', 'QScopedArrayPointer', 'QScopedPointer', |
4347 |
+ 'QScopedValueRollback', 'QScreen', 'QScriptClass', |
4348 |
+ 'QScriptClassPropertyIterator', 'QScriptContext', |
4349 |
+ 'QScriptContextInfo', 'QScriptEngine', 'QScriptEngineAgent', |
4350 |
+ 'QScriptEngineDebugger', 'QScriptExtensionPlugin', 'QScriptProgram', |
4351 |
+ 'QScriptString', 'QScriptSyntaxCheckResult', 'QScriptValue', |
4352 |
+ 'QScriptValueIterator', 'QScriptable', 'QScrollArea', 'QScrollBar', |
4353 |
+ 'QScrollEvent', 'QScrollPrepareEvent', 'QScroller', |
4354 |
+ 'QScrollerProperties', 'QSemaphore', 'QSensor', 'QSensorBackend', |
4355 |
+ 'QSensorBackendFactory', 'QSensorChangesInterface', 'QSensorFilter', |
4356 |
+ 'QSensorGesture', 'QSensorGestureManager', |
4357 |
+ 'QSensorGesturePluginInterface', 'QSensorGestureRecognizer', |
4358 |
+ 'QSensorManager', 'QSensorPluginInterface', 'QSensorReading', |
4359 |
+ 'QSequentialAnimationGroup', 'QSequentialIterable', 'QSerialPort', |
4360 |
+ 'QSerialPortInfo', 'QSessionManager', 'QSet', 'QSetIterator', |
4361 |
+ 'QSettings', 'QSharedData', 'QSharedDataPointer', 'QSharedMemory', |
4362 |
+ 'QSharedPointer', 'QShortcut', 'QShortcutEvent', 'QShowEvent', |
4363 |
+ 'QSignalBlocker', 'QSignalMapper', 'QSignalSpy', 'QSignalTransition', |
4364 |
+ 'QSimpleXmlNodeModel', 'QSize', 'QSizeF', 'QSizeGrip', 'QSizePolicy', |
4365 |
+ 'QSlider', 'QSocketNotifier', 'QSortFilterProxyModel', 'QSound', |
4366 |
+ 'QSoundEffect', 'QSourceLocation', 'QSpacerItem', 'QSpinBox', |
4367 |
+ 'QSplashScreen', 'QSplitter', 'QSplitterHandle', 'QSqlDatabase', |
4368 |
+ 'QSqlDriver', 'QSqlDriverCreator', 'QSqlDriverCreatorBase', |
4369 |
+ 'QSqlDriverPlugin', 'QSqlError', 'QSqlField', 'QSqlIndex', |
4370 |
+ 'QSqlQuery', 'QSqlQueryModel', 'QSqlRecord', 'QSqlRelation', |
4371 |
+ 'QSqlRelationalDelegate', 'QSqlRelationalTableModel', 'QSqlResult', |
4372 |
+ 'QSqlTableModel', 'QSslCertificate', 'QSslCertificateExtension', |
4373 |
+ 'QSslCipher', 'QSslConfiguration', 'QSslError', 'QSslKey', |
4374 |
+ 'QSslSocket', 'QStack', 'QStackedLayout', 'QStackedWidget', |
4375 |
+ 'QStandardItem', 'QStandardItemEditorCreator', 'QStandardItemModel', |
4376 |
+ 'QStandardPaths', 'QState', 'QStateMachine', 'QStaticPlugin', |
4377 |
+ 'QStaticText', 'QStatusBar', 'QStatusTipEvent', 'QStorageInfo', |
4378 |
+ 'QString', 'QStringList', 'QStringListModel', 'QStringMatcher', |
4379 |
+ 'QStringRef', 'QStyle', 'QStyleFactory', 'QStyleHintReturn', |
4380 |
+ 'QStyleHintReturnMask', 'QStyleHintReturnVariant', 'QStyleHints', |
4381 |
+ 'QStyleOption', 'QStyleOptionButton', 'QStyleOptionComboBox', |
4382 |
+ 'QStyleOptionComplex', 'QStyleOptionDockWidget', |
4383 |
+ 'QStyleOptionFocusRect', 'QStyleOptionFrame', |
4384 |
+ 'QStyleOptionGraphicsItem', 'QStyleOptionGroupBox', |
4385 |
+ 'QStyleOptionHeader', 'QStyleOptionMenuItem', |
4386 |
+ 'QStyleOptionProgressBar', 'QStyleOptionRubberBand', |
4387 |
+ 'QStyleOptionSizeGrip', 'QStyleOptionSlider', |
4388 |
+ 'QStyleOptionSpinBox', 'QStyleOptionTab', |
4389 |
+ 'QStyleOptionTabBarBase', 'QStyleOptionTabWidgetFrame', |
4390 |
+ 'QStyleOptionTitleBar', 'QStyleOptionToolBar', |
4391 |
+ 'QStyleOptionToolBox', 'QStyleOptionToolButton', |
4392 |
+ 'QStyleOptionViewItem', 'QStylePainter', 'QStylePlugin', |
4393 |
+ 'QStyledItemDelegate', 'QSupportedWritingSystems', 'QSurface', |
4394 |
+ 'QSurfaceFormat', 'QSvgGenerator', 'QSvgRenderer', 'QSvgWidget', |
4395 |
+ 'QSwipeGesture', 'QSyntaxHighlighter', 'QSysInfo', 'QSystemSemaphore', |
4396 |
+ 'QSystemTrayIcon', 'QTabBar', 'QTabWidget', 'QTableView', |
4397 |
+ 'QTableWidget', 'QTableWidgetItem', 'QTableWidgetSelectionRange', |
4398 |
+ 'QTabletEvent', 'QTapAndHoldGesture', 'QTapFilter', 'QTapGesture', |
4399 |
+ 'QTapReading', 'QTapSensor', 'QTcpServer', 'QTcpSocket', |
4400 |
+ 'QTemporaryDir', 'QTemporaryFile', 'QTestEventList', 'QTextBlock', |
4401 |
+ 'QTextBlockFormat', 'QTextBlockGroup', 'QTextBlockUserData', |
4402 |
+ 'QTextBoundaryFinder', 'QTextBrowser', 'QTextCharFormat', |
4403 |
+ 'QTextCodec', 'QTextCursor', 'QTextDecoder', 'QTextDocument', |
4404 |
+ 'QTextDocumentFragment', 'QTextDocumentWriter', 'QTextEdit', |
4405 |
+ 'QTextEncoder', 'QTextFormat', 'QTextFragment', 'QTextFrame', |
4406 |
+ 'QTextFrameFormat', 'QTextImageFormat', 'QTextInlineObject', |
4407 |
+ 'QTextItem', 'QTextLayout', 'QTextLength', 'QTextLine', 'QTextList', |
4408 |
+ 'QTextListFormat', 'QTextObject', 'QTextObjectInterface', |
4409 |
+ 'QTextOption', 'QTextStream', 'QTextTable', 'QTextTableCell', |
4410 |
+ 'QTextTableCellFormat', 'QTextTableFormat', 'QThread', 'QThreadPool', |
4411 |
+ 'QThreadStorage', 'QTileRules', 'QTiltFilter', 'QTiltReading', |
4412 |
+ 'QTiltSensor', 'QTime', 'QTimeEdit', 'QTimeLine', 'QTimeZone', |
4413 |
+ 'QTimer', 'QTimerEvent', 'QToolBar', 'QToolBox', 'QToolButton', |
4414 |
+ 'QToolTip', 'QTouchDevice', 'QTouchEvent', 'QTransform', |
4415 |
+ 'QTranslator', 'QTreeView', 'QTreeWidget', 'QTreeWidgetItem', |
4416 |
+ 'QTreeWidgetItemIterator', 'QUdpSocket', 'QUiLoader', 'QUndoCommand', |
4417 |
+ 'QUndoGroup', 'QUndoStack', 'QUndoView', 'QUnhandledException', |
4418 |
+ 'QUrl', 'QUrlQuery', 'QUuid', 'QVBoxLayout', 'QValidator', |
4419 |
+ 'QVarLengthArray', 'QVariant', 'QVariantAnimation', 'QVector', |
4420 |
+ 'QVector2D', 'QVector3D', 'QVector4D', 'QVectorIterator', |
4421 |
+ 'QVideoDeviceSelectorControl', 'QVideoEncoderSettings', |
4422 |
+ 'QVideoEncoderSettingsControl', 'QVideoFrame', 'QVideoProbe', |
4423 |
+ 'QVideoRendererControl', 'QVideoSurfaceFormat', 'QVideoWidget', |
4424 |
+ 'QVideoWidgetControl', 'QVideoWindowControl', 'QWGLNativeContext', |
4425 |
+ 'QWaitCondition', 'QWeakPointer', 'QWebChannel', |
4426 |
+ 'QWebChannelAbstractTransport', 'QWebDatabase', 'QWebElement', |
4427 |
+ 'QWebElementCollection', 'QWebEngineHistory', 'QWebEngineHistoryItem', |
4428 |
+ 'QWebEnginePage', 'QWebEngineSettings', 'QWebEngineView', 'QWebFrame', |
4429 |
+ 'QWebHistory', 'QWebHistoryInterface', 'QWebHistoryItem', |
4430 |
+ 'QWebHitTestResult', 'QWebInspector', 'QWebPage', 'QWebPluginFactory', |
4431 |
+ 'QWebSecurityOrigin', 'QWebSettings', 'QWebSocket', |
4432 |
+ 'QWebSocketCorsAuthenticator', 'QWebSocketServer', 'QWebView', |
4433 |
+ 'QWhatsThis', 'QWhatsThisClickedEvent', 'QWheelEvent', 'QWidget', |
4434 |
+ 'QWidgetAction', 'QWidgetItem', 'QWinEventNotifier', 'QWinJumpList', |
4435 |
+ 'QWinJumpListCategory', 'QWinJumpListItem', 'QWinMime', |
4436 |
+ 'QWinTaskbarButton', 'QWinTaskbarProgress', 'QWinThumbnailToolBar', |
4437 |
+ 'QWinThumbnailToolButton', 'QWindow', 'QWindowStateChangeEvent', |
4438 |
+ 'QWizard', 'QWizardPage', 'QWriteLocker', 'QX11Info', |
4439 |
+ 'QXcbWindowFunctions', 'QXmlAttributes', 'QXmlContentHandler', |
4440 |
+ 'QXmlDTDHandler', 'QXmlDeclHandler', 'QXmlDefaultHandler', |
4441 |
+ 'QXmlEntityResolver','QXmlErrorHandler', 'QXmlFormatter', |
4442 |
+ 'QXmlInputSource', 'QXmlItem', 'QXmlLexicalHandler', 'QXmlLocator', |
4443 |
+ 'QXmlName', 'QXmlNamePool', 'QXmlNamespaceSupport', |
4444 |
+ 'QXmlNodeModelIndex', 'QXmlParseException', 'QXmlQuery', |
4445 |
+ 'QXmlReader', 'QXmlResultItems', 'QXmlSchema', 'QXmlSchemaValidator', |
4446 |
+ 'QXmlSerializer', 'QXmlSimpleReader', 'QXmlStreamAttribute', |
4447 |
+ 'QXmlStreamAttributes', 'QXmlStreamEntityDeclaration', |
4448 |
+ 'QXmlStreamEntityResolver', 'QXmlStreamNamespaceDeclaration', |
4449 |
+ 'QXmlStreamNotationDeclaration', 'QXmlStreamReader', |
4450 |
+ 'QXmlStreamWriter' |
4451 |
) |
4452 |
), |
4453 |
'SYMBOLS' => array( |
4454 |
@@ -489,6 +547,8 @@ $language_data = array ( |
4455 |
'COMMENTS' => array( |
4456 |
1 => 'color: #888888;', |
4457 |
2 => 'color: #006E28;', |
4458 |
+ 3 => 'color: #BF0303;', |
4459 |
+ 4 => 'color: #BF0303;', |
4460 |
'MULTI' => 'color: #888888; font-style: italic;' |
4461 |
), |
4462 |
'ESCAPE_CHAR' => array( |
4463 |
@@ -534,7 +594,7 @@ $language_data = array ( |
4464 |
2 => '', |
4465 |
3 => '', |
4466 |
4 => '', |
4467 |
- 5 => 'http://doc.trolltech.com/latest/{FNAMEL}.html' |
4468 |
+ 5 => 'http://qt-project.org/doc/latest/{FNAMEL}.html' |
4469 |
), |
4470 |
'OOLANG' => true, |
4471 |
'OBJECT_SPLITTERS' => array( |
4472 |
@@ -560,5 +620,3 @@ $language_data = array ( |
4473 |
) |
4474 |
) |
4475 |
); |
4476 |
- |
4477 |
-?> |
4478 |
\ No newline at end of file |
4479 |
|
4480 |
diff --git a/plugins/wp-syntax/geshi/geshi/cpp-winapi.php b/plugins/wp-syntax/geshi/geshi/cpp-winapi.php |
4481 |
new file mode 100644 |
4482 |
index 0000000..f642616 |
4483 |
--- /dev/null |
4484 |
+++ b/plugins/wp-syntax/geshi/geshi/cpp-winapi.php |
4485 |
@@ -0,0 +1,836 @@ |
4486 |
+<?php |
4487 |
+/************************************************************************************* |
4488 |
+ * cpp-winapi.php |
4489 |
+ * ------- |
4490 |
+ * Author: Dennis Bayer (Dennis.Bayer@×××××××××××××.de) |
4491 |
+ * Contributors: |
4492 |
+ * - M. Uli Kusterer (witness.of.teachtext@×××.net) |
4493 |
+ * - Jack Lloyd (lloyd@×××××××××.net) |
4494 |
+ * - Benny Baumann (BenBE@×××××.org) |
4495 |
+ * Copyright: (c) 2004 Dennis Bayer, Nigel McNie, 2012 Benny Baumann (http://qbnz.com/highlighter) |
4496 |
+ * Release Version: 1.0.8.12 |
4497 |
+ * Date Started: 2004/09/27 |
4498 |
+ * |
4499 |
+ * C++ language file for GeSHi. |
4500 |
+ * |
4501 |
+ * CHANGES |
4502 |
+ * ------- |
4503 |
+ * 2008/05/23 (1.0.7.22) |
4504 |
+ * - Added description of extra language features (SF#1970248) |
4505 |
+ * 2004/XX/XX (1.0.2) |
4506 |
+ * - Added several new keywords (Jack Lloyd) |
4507 |
+ * 2004/11/27 (1.0.1) |
4508 |
+ * - Added StdCLib function and constant names, changed color scheme to |
4509 |
+ * a cleaner one. (M. Uli Kusterer) |
4510 |
+ * - Added support for multiple object splitters |
4511 |
+ * 2004/10/27 (1.0.0) |
4512 |
+ * - First Release |
4513 |
+ * |
4514 |
+ * TODO (updated 2004/11/27) |
4515 |
+ * ------------------------- |
4516 |
+ * |
4517 |
+ ************************************************************************************* |
4518 |
+ * |
4519 |
+ * This file is part of GeSHi. |
4520 |
+ * |
4521 |
+ * GeSHi is free software; you can redistribute it and/or modify |
4522 |
+ * it under the terms of the GNU General Public License as published by |
4523 |
+ * the Free Software Foundation; either version 2 of the License, or |
4524 |
+ * (at your option) any later version. |
4525 |
+ * |
4526 |
+ * GeSHi is distributed in the hope that it will be useful, |
4527 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
4528 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
4529 |
+ * GNU General Public License for more details. |
4530 |
+ * |
4531 |
+ * You should have received a copy of the GNU General Public License |
4532 |
+ * along with GeSHi; if not, write to the Free Software |
4533 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
4534 |
+ * |
4535 |
+ ************************************************************************************/ |
4536 |
+ |
4537 |
+$language_data = array ( |
4538 |
+ 'LANG_NAME' => 'C++ (WinAPI)', |
4539 |
+ 'COMMENT_SINGLE' => array(1 => '//', 2 => '#'), |
4540 |
+ 'COMMENT_MULTI' => array('/*' => '*/'), |
4541 |
+ 'COMMENT_REGEXP' => array( |
4542 |
+ //Multiline-continued single-line comments |
4543 |
+ 1 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m', |
4544 |
+ //Multiline-continued preprocessor define |
4545 |
+ 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m', |
4546 |
+ //C++ 11 string literal extensions |
4547 |
+ 3 => '/(?:L|u8?|U)(?=")/', |
4548 |
+ //C++ 11 string literal extensions (raw) |
4549 |
+ 4 => '/R"([^()\s\\\\]*)\((?:(?!\)\\1").)*\)\\1"/ms' |
4550 |
+ ), |
4551 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
4552 |
+ 'QUOTEMARKS' => array("'", '"'), |
4553 |
+ 'ESCAPE_CHAR' => '', |
4554 |
+ 'ESCAPE_REGEXP' => array( |
4555 |
+ //Simple Single Char Escapes |
4556 |
+ 1 => "#\\\\[abfnrtv\\\'\"?\n]#i", |
4557 |
+ //Hexadecimal Char Specs |
4558 |
+ 2 => "#\\\\x[\da-fA-F]{2}#", |
4559 |
+ //Hexadecimal Char Specs |
4560 |
+ 3 => "#\\\\u[\da-fA-F]{4}#", |
4561 |
+ //Hexadecimal Char Specs |
4562 |
+ 4 => "#\\\\U[\da-fA-F]{8}#", |
4563 |
+ //Octal Char Specs |
4564 |
+ 5 => "#\\\\[0-7]{1,3}#" |
4565 |
+ ), |
4566 |
+ 'NUMBERS' => |
4567 |
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_INT_CSTYLE | GESHI_NUMBER_BIN_PREFIX_0B | |
4568 |
+ GESHI_NUMBER_OCT_PREFIX | GESHI_NUMBER_HEX_PREFIX | GESHI_NUMBER_FLT_NONSCI | |
4569 |
+ GESHI_NUMBER_FLT_NONSCI_F | GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO, |
4570 |
+ 'KEYWORDS' => array( |
4571 |
+ 1 => array( |
4572 |
+ 'break', 'case', 'continue', 'default', 'do', 'else', 'for', 'goto', 'if', 'return', |
4573 |
+ 'switch', 'throw', 'while' |
4574 |
+ ), |
4575 |
+ 2 => array( |
4576 |
+ 'NULL', 'false', 'true', 'enum', 'errno', 'EDOM', |
4577 |
+ 'ERANGE', 'FLT_RADIX', 'FLT_ROUNDS', 'FLT_DIG', 'DBL_DIG', 'LDBL_DIG', |
4578 |
+ 'FLT_EPSILON', 'DBL_EPSILON', 'LDBL_EPSILON', 'FLT_MANT_DIG', 'DBL_MANT_DIG', |
4579 |
+ 'LDBL_MANT_DIG', 'FLT_MAX', 'DBL_MAX', 'LDBL_MAX', 'FLT_MAX_EXP', 'DBL_MAX_EXP', |
4580 |
+ 'LDBL_MAX_EXP', 'FLT_MIN', 'DBL_MIN', 'LDBL_MIN', 'FLT_MIN_EXP', 'DBL_MIN_EXP', |
4581 |
+ 'LDBL_MIN_EXP', 'CHAR_BIT', 'CHAR_MAX', 'CHAR_MIN', 'SCHAR_MAX', 'SCHAR_MIN', |
4582 |
+ 'UCHAR_MAX', 'SHRT_MAX', 'SHRT_MIN', 'USHRT_MAX', 'INT_MAX', 'INT_MIN', |
4583 |
+ 'UINT_MAX', 'LONG_MAX', 'LONG_MIN', 'ULONG_MAX', 'HUGE_VAL', 'SIGABRT', |
4584 |
+ 'SIGFPE', 'SIGILL', 'SIGINT', 'SIGSEGV', 'SIGTERM', 'SIG_DFL', 'SIG_ERR', |
4585 |
+ 'SIG_IGN', 'BUFSIZ', 'EOF', 'FILENAME_MAX', 'FOPEN_MAX', 'L_tmpnam', |
4586 |
+ 'SEEK_CUR', 'SEEK_END', 'SEEK_SET', 'TMP_MAX', 'stdin', 'stdout', 'stderr', |
4587 |
+ 'EXIT_FAILURE', 'EXIT_SUCCESS', 'RAND_MAX', 'CLOCKS_PER_SEC', |
4588 |
+ 'virtual', 'public', 'private', 'protected', 'template', 'using', 'namespace', |
4589 |
+ 'try', 'catch', 'inline', 'dynamic_cast', 'const_cast', 'reinterpret_cast', |
4590 |
+ 'static_cast', 'explicit', 'friend', 'typename', 'typeid', 'class' |
4591 |
+ ), |
4592 |
+ 3 => array( |
4593 |
+ 'cin', 'cerr', 'clog', 'cout', 'delete', 'new', 'this', |
4594 |
+ 'printf', 'fprintf', 'snprintf', 'sprintf', 'assert', |
4595 |
+ 'isalnum', 'isalpha', 'isdigit', 'iscntrl', 'isgraph', 'islower', 'isprint', |
4596 |
+ 'ispunct', 'isspace', 'isupper', 'isxdigit', 'tolower', 'toupper', |
4597 |
+ 'exp', 'log', 'log10', 'pow', 'sqrt', 'ceil', 'floor', 'fabs', 'ldexp', |
4598 |
+ 'frexp', 'modf', 'fmod', 'sin', 'cos', 'tan', 'asin', 'acos', 'atan', 'atan2', |
4599 |
+ 'sinh', 'cosh', 'tanh', 'setjmp', 'longjmp', |
4600 |
+ 'va_start', 'va_arg', 'va_end', 'offsetof', 'sizeof', 'fopen', 'freopen', |
4601 |
+ 'fflush', 'fclose', 'remove', 'rename', 'tmpfile', 'tmpname', 'setvbuf', |
4602 |
+ 'setbuf', 'vfprintf', 'vprintf', 'vsprintf', 'fscanf', 'scanf', 'sscanf', |
4603 |
+ 'fgetc', 'fgets', 'fputc', 'fputs', 'getc', 'getchar', 'gets', 'putc', |
4604 |
+ 'putchar', 'puts', 'ungetc', 'fread', 'fwrite', 'fseek', 'ftell', 'rewind', |
4605 |
+ 'fgetpos', 'fsetpos', 'clearerr', 'feof', 'ferror', 'perror', 'abs', 'labs', |
4606 |
+ 'div', 'ldiv', 'atof', 'atoi', 'atol', 'strtod', 'strtol', 'strtoul', 'calloc', |
4607 |
+ 'malloc', 'realloc', 'free', 'abort', 'exit', 'atexit', 'system', 'getenv', |
4608 |
+ 'bsearch', 'qsort', 'rand', 'srand', 'strcpy', 'strncpy', 'strcat', 'strncat', |
4609 |
+ 'strcmp', 'strncmp', 'strcoll', 'strchr', 'strrchr', 'strspn', 'strcspn', |
4610 |
+ 'strpbrk', 'strstr', 'strlen', 'strerror', 'strtok', 'strxfrm', 'memcpy', |
4611 |
+ 'memmove', 'memcmp', 'memchr', 'memset', 'clock', 'time', 'difftime', 'mktime', |
4612 |
+ 'asctime', 'ctime', 'gmtime', 'localtime', 'strftime' |
4613 |
+ ), |
4614 |
+ 4 => array( |
4615 |
+ 'auto', 'bool', 'char', 'const', 'double', 'float', 'int', 'long', 'longint', |
4616 |
+ 'register', 'short', 'shortint', 'signed', 'static', 'struct', |
4617 |
+ 'typedef', 'union', 'unsigned', 'void', 'volatile', 'extern', 'jmp_buf', |
4618 |
+ 'signal', 'raise', 'va_list', 'ptrdiff_t', 'size_t', 'FILE', 'fpos_t', |
4619 |
+ 'div_t', 'ldiv_t', 'clock_t', 'time_t', 'tm', 'wchar_t', |
4620 |
+ |
4621 |
+ 'int8', 'int16', 'int32', 'int64', |
4622 |
+ 'uint8', 'uint16', 'uint32', 'uint64', |
4623 |
+ |
4624 |
+ 'int_fast8_t', 'int_fast16_t', 'int_fast32_t', 'int_fast64_t', |
4625 |
+ 'uint_fast8_t', 'uint_fast16_t', 'uint_fast32_t', 'uint_fast64_t', |
4626 |
+ |
4627 |
+ 'int_least8_t', 'int_least16_t', 'int_least32_t', 'int_least64_t', |
4628 |
+ 'uint_least8_t', 'uint_least16_t', 'uint_least32_t', 'uint_least64_t', |
4629 |
+ |
4630 |
+ 'int8_t', 'int16_t', 'int32_t', 'int64_t', |
4631 |
+ 'uint8_t', 'uint16_t', 'uint32_t', 'uint64_t', |
4632 |
+ |
4633 |
+ 'intmax_t', 'uintmax_t', 'intptr_t', 'uintptr_t' |
4634 |
+ ), |
4635 |
+ // Public API |
4636 |
+ 5 => array( |
4637 |
+ 'AssignProcessToJobObject', 'CommandLineToArgvW', 'ConvertThreadToFiber', |
4638 |
+ 'CreateFiber', 'CreateJobObjectA', 'CreateJobObjectW', 'CreateProcessA', |
4639 |
+ 'CreateProcessAsUserA', 'CreateProcessAsUserW', 'CreateProcessW', |
4640 |
+ 'CreateRemoteThread', 'CreateThread', 'DeleteFiber', 'ExitProcess', |
4641 |
+ 'ExitThread', 'FreeEnvironmentStringsA', 'FreeEnvironmentStringsW', |
4642 |
+ 'GetCommandLineA', 'GetCommandLineW', 'GetCurrentProcess', |
4643 |
+ 'GetCurrentProcessId', 'GetCurrentThread', 'GetCurrentThreadId', |
4644 |
+ 'GetEnvironmentStringsA', 'GetEnvironmentStringsW', |
4645 |
+ 'GetEnvironmentVariableA', 'GetEnvironmentVariableW', 'GetExitCodeProcess', |
4646 |
+ 'GetExitCodeThread', 'GetGuiResources', 'GetPriorityClass', |
4647 |
+ 'GetProcessAffinityMask', 'GetProcessPriorityBoost', |
4648 |
+ 'GetProcessShutdownParameters', 'GetProcessTimes', 'GetProcessVersion', |
4649 |
+ 'GetProcessWorkingSetSize', 'GetStartupInfoA', 'GetStartupInfoW', |
4650 |
+ 'GetThreadPriority', 'GetThreadPriorityBoost', 'GetThreadTimes', |
4651 |
+ 'OpenJobObjectA', 'OpenJobObjectW', 'OpenProcess', |
4652 |
+ 'QueryInformationJobObject', 'ResumeThread', 'SetEnvironmentVariableA', |
4653 |
+ 'SetEnvironmentVariableW', 'SetInformationJobObject', 'SetPriorityClass', |
4654 |
+ 'SetProcessAffinityMask', 'SetProcessPriorityBoost', |
4655 |
+ 'SetProcessShutdownParameters', 'SetProcessWorkingSetSize', |
4656 |
+ 'SetThreadAffinityMask', 'SetThreadIdealProcessor', 'SetThreadPriority', |
4657 |
+ 'SetThreadPriorityBoost', 'Sleep', 'SleepEx', 'SuspendThread', |
4658 |
+ 'SwitchToFiber', 'SwitchToThread', 'TerminateJobObject', 'TerminateProcess', |
4659 |
+ 'TerminateThread', 'WaitForInputIdle', 'WinExec', |
4660 |
+ |
4661 |
+ '_hread', '_hwrite', '_lclose', '_lcreat', '_llseek', '_lopen', '_lread', |
4662 |
+ '_lwrite', 'AreFileApisANSI', 'CancelIo', 'CopyFileA', 'CopyFileW', |
4663 |
+ 'CreateDirectoryA', 'CreateDirectoryExA', 'CreateDirectoryExW', |
4664 |
+ 'CreateDirectoryW', 'CreateFileA', 'CreateFileW', 'DeleteFileA', |
4665 |
+ 'DeleteFileW', 'FindClose', 'FindCloseChangeNotification', |
4666 |
+ 'FindFirstChangeNotificationA', 'FindFirstChangeNotificationW', |
4667 |
+ 'FindFirstFileA', 'FindFirstFileW', 'FindNextFileA', 'FindNextFileW', |
4668 |
+ 'FlushFileBuffers', 'GetCurrentDirectoryA', 'GetCurrentDirectoryW', |
4669 |
+ 'GetDiskFreeSpaceA', 'GetDiskFreeSpaceExA', 'GetDiskFreeSpaceExW', |
4670 |
+ 'GetDiskFreeSpaceW', 'GetDriveTypeA', 'GetDriveTypeW', 'GetFileAttributesA', |
4671 |
+ 'GetFileAttributesExA', 'GetFileAttributesExW', 'GetFileAttributesW', |
4672 |
+ 'GetFileInformationByHandle', 'GetFileSize', 'GetFileType', |
4673 |
+ 'GetFullPathNameA', 'GetFullPathNameW', 'GetLogicalDrives', |
4674 |
+ 'GetLogicalDriveStringsA', 'GetLogicalDriveStringsW', 'GetLongPathNameA', |
4675 |
+ 'GetLongPathNameW', 'GetShortPathNameA', 'GetShortPathNameW', |
4676 |
+ 'GetTempFileNameA', 'GetTempFileNameW', 'GetTempPathA', 'GetTempPathW', |
4677 |
+ 'LockFile', 'MoveFileA', 'MoveFileW', 'MulDiv', 'OpenFile', |
4678 |
+ 'QueryDosDeviceA', 'QueryDosDeviceW', 'ReadFile', 'ReadFileEx', |
4679 |
+ 'RemoveDirectoryA', 'RemoveDirectoryW', 'SearchPathA', 'SearchPathW', |
4680 |
+ 'SetCurrentDirectoryA', 'SetCurrentDirectoryW', 'SetEndOfFile', |
4681 |
+ 'SetFileApisToANSI', 'SetFileApisToOEM', 'SetFileAttributesA', |
4682 |
+ 'SetFileAttributesW', 'SetFilePointer', 'SetHandleCount', |
4683 |
+ 'SetVolumeLabelA', 'SetVolumeLabelW', 'UnlockFile', 'WriteFile', |
4684 |
+ 'WriteFileEx', |
4685 |
+ |
4686 |
+ 'DeviceIoControl', |
4687 |
+ |
4688 |
+ 'GetModuleFileNameA', 'GetModuleFileNameW', 'GetProcAddress', |
4689 |
+ 'LoadLibraryA', 'LoadLibraryExA', 'LoadLibraryExW', 'LoadLibraryW', |
4690 |
+ 'LoadModule', |
4691 |
+ |
4692 |
+ 'GetPrivateProfileIntA', 'GetPrivateProfileIntW', |
4693 |
+ 'GetPrivateProfileSectionA', 'GetPrivateProfileSectionNamesA', |
4694 |
+ 'GetPrivateProfileSectionNamesW', 'GetPrivateProfileSectionW', |
4695 |
+ 'GetPrivateProfileStringA', 'GetPrivateProfileStringW', |
4696 |
+ 'GetPrivateProfileStructA', 'GetPrivateProfileStructW', |
4697 |
+ 'GetProfileIntA', 'GetProfileIntW', 'GetProfileSectionA', |
4698 |
+ 'GetProfileSectionW', 'GetProfileStringA', 'GetProfileStringW', |
4699 |
+ 'RegCloseKey', 'RegConnectRegistryA', 'RegConnectRegistryW', |
4700 |
+ 'RegCreateKeyA', 'RegCreateKeyExA', 'RegCreateKeyExW', |
4701 |
+ 'RegCreateKeyW', 'RegDeleteKeyA', 'RegDeleteKeyW', 'RegDeleteValueA', |
4702 |
+ 'RegDeleteValueW', 'RegEnumKeyA', 'RegEnumKeyExA', 'RegEnumKeyExW', |
4703 |
+ 'RegEnumKeyW', 'RegEnumValueA', 'RegEnumValueW', 'RegFlushKey', |
4704 |
+ 'RegGetKeySecurity', 'RegLoadKeyA', 'RegLoadKeyW', |
4705 |
+ 'RegNotifyChangeKeyValue', 'RegOpenKeyA', 'RegOpenKeyExA', 'RegOpenKeyExW', |
4706 |
+ 'RegOpenKeyW', 'RegOverridePredefKey', 'RegQueryInfoKeyA', |
4707 |
+ 'RegQueryInfoKeyW', 'RegQueryMultipleValuesA', 'RegQueryMultipleValuesW', |
4708 |
+ 'RegQueryValueA', 'RegQueryValueExA', 'RegQueryValueExW', 'RegQueryValueW', |
4709 |
+ 'RegReplaceKeyA', 'RegReplaceKeyW', 'RegRestoreKeyA', 'RegRestoreKeyW', |
4710 |
+ 'RegSaveKeyA', 'RegSaveKeyW', 'RegSetKeySecurity', 'RegSetValueA', |
4711 |
+ 'RegSetValueExA', 'RegSetValueExW', 'RegSetValueW', 'RegUnLoadKeyA', |
4712 |
+ 'RegUnLoadKeyW', 'WritePrivateProfileSectionA', 'WritePrivateProfileSectionW', |
4713 |
+ 'WritePrivateProfileStringA', 'WritePrivateProfileStringW', |
4714 |
+ 'WritePrivateProfileStructA', 'WritePrivateProfileStructW', |
4715 |
+ 'WriteProfileSectionA', 'WriteProfileSectionW', 'WriteProfileStringA', |
4716 |
+ 'WriteProfileStringW', |
4717 |
+ |
4718 |
+ 'AccessCheck', 'AccessCheckAndAuditAlarmA', 'AccessCheckAndAuditAlarmW', |
4719 |
+ 'AccessCheckByType', 'AccessCheckByTypeAndAuditAlarmA', |
4720 |
+ 'AccessCheckByTypeAndAuditAlarmW', 'AccessCheckByTypeResultList', |
4721 |
+ 'AccessCheckByTypeResultListAndAuditAlarmA', 'AccessCheckByTypeResultListAndAuditAlarmW', |
4722 |
+ 'AddAccessAllowedAce', 'AddAccessAllowedAceEx', 'AddAccessAllowedObjectAce', |
4723 |
+ 'AddAccessDeniedAce', 'AddAccessDeniedAceEx', 'AddAccessDeniedObjectAce', |
4724 |
+ 'AddAce', 'AddAuditAccessAce', 'AddAuditAccessAceEx', 'AddAuditAccessObjectAce', |
4725 |
+ 'AdjustTokenGroups', 'AdjustTokenPrivileges', 'AllocateAndInitializeSid', |
4726 |
+ 'AllocateLocallyUniqueId', 'AreAllAccessesGranted', 'AreAnyAccessesGranted', |
4727 |
+ 'BuildExplicitAccessWithNameA', 'BuildExplicitAccessWithNameW', |
4728 |
+ 'BuildImpersonateExplicitAccessWithNameA', 'BuildImpersonateExplicitAccessWithNameW', |
4729 |
+ 'BuildImpersonateTrusteeA', 'BuildImpersonateTrusteeW', 'BuildSecurityDescriptorA', |
4730 |
+ 'BuildSecurityDescriptorW', 'BuildTrusteeWithNameA', 'BuildTrusteeWithNameW', |
4731 |
+ 'BuildTrusteeWithSidA', 'BuildTrusteeWithSidW', |
4732 |
+ 'ConvertToAutoInheritPrivateObjectSecurity', 'CopySid', 'CreatePrivateObjectSecurity', |
4733 |
+ 'CreatePrivateObjectSecurityEx', 'CreateRestrictedToken', 'DeleteAce', |
4734 |
+ 'DestroyPrivateObjectSecurity', 'DuplicateToken', 'DuplicateTokenEx', |
4735 |
+ 'EqualPrefixSid', 'EqualSid', 'FindFirstFreeAce', 'FreeSid', 'GetAce', |
4736 |
+ 'GetAclInformation', 'GetAuditedPermissionsFromAclA', 'GetAuditedPermissionsFromAclW', |
4737 |
+ 'GetEffectiveRightsFromAclA', 'GetEffectiveRightsFromAclW', |
4738 |
+ 'GetExplicitEntriesFromAclA', 'GetExplicitEntriesFromAclW', 'GetFileSecurityA', |
4739 |
+ 'GetFileSecurityW', 'GetKernelObjectSecurity', 'GetLengthSid', 'GetMultipleTrusteeA', |
4740 |
+ 'GetMultipleTrusteeOperationA', 'GetMultipleTrusteeOperationW', 'GetMultipleTrusteeW', |
4741 |
+ 'GetNamedSecurityInfoA', 'GetNamedSecurityInfoW', 'GetPrivateObjectSecurity', |
4742 |
+ 'GetSecurityDescriptorControl', 'GetSecurityDescriptorDacl', |
4743 |
+ 'GetSecurityDescriptorGroup', 'GetSecurityDescriptorLength', |
4744 |
+ 'GetSecurityDescriptorOwner', 'GetSecurityDescriptorSacl', 'GetSecurityInfo', |
4745 |
+ 'GetSidIdentifierAuthority', 'GetSidLengthRequired', 'GetSidSubAuthority', |
4746 |
+ 'GetSidSubAuthorityCount', 'GetTokenInformation', 'GetTrusteeFormA', |
4747 |
+ 'GetTrusteeFormW', 'GetTrusteeNameA', 'GetTrusteeNameW', 'GetTrusteeTypeA', |
4748 |
+ 'GetTrusteeTypeW', 'GetUserObjectSecurity', 'ImpersonateLoggedOnUser', |
4749 |
+ 'ImpersonateNamedPipeClient', 'ImpersonateSelf', 'InitializeAcl', |
4750 |
+ 'InitializeSecurityDescriptor', 'InitializeSid', 'IsTokenRestricted', 'IsValidAcl', |
4751 |
+ 'IsValidSecurityDescriptor', 'IsValidSid', 'LogonUserA', 'LogonUserW', |
4752 |
+ 'LookupAccountNameA', 'LookupAccountNameW', 'LookupAccountSidA', 'LookupAccountSidW', |
4753 |
+ 'LookupPrivilegeDisplayNameA', 'LookupPrivilegeDisplayNameW', 'LookupPrivilegeNameA', |
4754 |
+ 'LookupPrivilegeNameW', 'LookupPrivilegeValueA', 'LookupPrivilegeValueW', |
4755 |
+ 'LookupSecurityDescriptorPartsA', 'LookupSecurityDescriptorPartsW', 'MakeAbsoluteSD', |
4756 |
+ 'MakeSelfRelativeSD', 'MapGenericMask', 'ObjectCloseAuditAlarmA', |
4757 |
+ 'ObjectCloseAuditAlarmW', 'ObjectDeleteAuditAlarmA', 'ObjectDeleteAuditAlarmW', |
4758 |
+ 'ObjectOpenAuditAlarmA', 'ObjectOpenAuditAlarmW', 'ObjectPrivilegeAuditAlarmA', |
4759 |
+ 'ObjectPrivilegeAuditAlarmW', 'OpenProcessToken', 'OpenThreadToken', 'PrivilegeCheck', |
4760 |
+ 'PrivilegedServiceAuditAlarmA', 'PrivilegedServiceAuditAlarmW', 'RevertToSelf', |
4761 |
+ 'SetAclInformation', 'SetEntriesInAclA', 'SetEntriesInAclW', 'SetFileSecurityA', |
4762 |
+ 'SetFileSecurityW', 'SetKernelObjectSecurity', 'SetNamedSecurityInfoA', |
4763 |
+ 'SetNamedSecurityInfoW', 'SetPrivateObjectSecurity', 'SetPrivateObjectSecurityEx', |
4764 |
+ 'SetSecurityDescriptorControl', 'SetSecurityDescriptorDacl', |
4765 |
+ 'SetSecurityDescriptorGroup', 'SetSecurityDescriptorOwner', |
4766 |
+ 'SetSecurityDescriptorSacl', 'SetSecurityInfo', 'SetThreadToken', |
4767 |
+ 'SetTokenInformation', 'SetUserObjectSecurity', 'ChangeServiceConfig2A', |
4768 |
+ 'ChangeServiceConfig2W', 'ChangeServiceConfigA', 'ChangeServiceConfigW', |
4769 |
+ 'CloseServiceHandle', 'ControlService', 'CreateServiceA', 'CreateServiceW', |
4770 |
+ 'DeleteService', 'EnumDependentServicesA', 'EnumDependentServicesW', |
4771 |
+ 'EnumServicesStatusA', 'EnumServicesStatusW', 'GetServiceDisplayNameA', |
4772 |
+ 'GetServiceDisplayNameW', 'GetServiceKeyNameA', 'GetServiceKeyNameW', |
4773 |
+ 'LockServiceDatabase', 'NotifyBootConfigStatus', 'OpenSCManagerA', 'OpenSCManagerW', |
4774 |
+ 'OpenServiceA', 'OpenServiceW', 'QueryServiceConfig2A', 'QueryServiceConfig2W', |
4775 |
+ 'QueryServiceConfigA', 'QueryServiceConfigW', 'QueryServiceLockStatusA', |
4776 |
+ 'QueryServiceLockStatusW', 'QueryServiceObjectSecurity', 'QueryServiceStatus', |
4777 |
+ 'RegisterServiceCtrlHandlerA', 'RegisterServiceCtrlHandlerW', |
4778 |
+ 'SetServiceObjectSecurity', 'SetServiceStatus', 'StartServiceA', |
4779 |
+ 'StartServiceCtrlDispatcherA', 'StartServiceCtrlDispatcherW', 'StartServiceW', |
4780 |
+ 'UnlockServiceDatabase', |
4781 |
+ |
4782 |
+ 'MultinetGetConnectionPerformanceA', 'MultinetGetConnectionPerformanceW', |
4783 |
+ 'NetAlertRaise', 'NetAlertRaiseEx', 'NetApiBufferAllocate', 'NetApiBufferFree', |
4784 |
+ 'NetApiBufferReallocate', 'NetApiBufferSize', 'NetConnectionEnum', 'NetFileClose', |
4785 |
+ 'NetFileGetInfo', 'NetGetAnyDCName', 'NetGetDCName', 'NetGetDisplayInformationIndex', |
4786 |
+ 'NetGroupAdd', 'NetGroupAddUser', 'NetGroupDel', 'NetGroupDelUser', 'NetGroupEnum', |
4787 |
+ 'NetGroupGetInfo', 'NetGroupGetUsers', 'NetGroupSetInfo', 'NetGroupSetUsers', |
4788 |
+ 'NetLocalGroupAdd', 'NetLocalGroupAddMember', 'NetLocalGroupAddMembers', |
4789 |
+ 'NetLocalGroupDel', 'NetLocalGroupDelMember', 'NetLocalGroupDelMembers', |
4790 |
+ 'NetLocalGroupEnum', 'NetLocalGroupGetInfo', 'NetLocalGroupGetMembers', |
4791 |
+ 'NetLocalGroupSetInfo', 'NetLocalGroupSetMembers', 'NetMessageBufferSend', |
4792 |
+ 'NetMessageNameAdd', 'NetMessageNameDel', 'NetMessageNameEnum', |
4793 |
+ 'NetMessageNameGetInfo', 'NetQueryDisplayInformation', 'NetRemoteComputerSupports', |
4794 |
+ 'NetRemoteTOd', 'NetReplExportDirAdd', 'NetReplExportDirDel', 'NetReplExportDirEnum', |
4795 |
+ 'NetReplExportDirGetInfo', 'NetReplExportDirLock', 'NetReplExportDirSetInfo', |
4796 |
+ 'NetReplExportDirUnlock', 'NetReplGetInfo', 'NetReplImportDirAdd', |
4797 |
+ 'NetReplImportDirDel', 'NetReplImportDirEnum', 'NetReplImportDirGetInfo', |
4798 |
+ 'NetReplImportDirLock', 'NetReplImportDirUnlock', 'NetReplSetInfo', |
4799 |
+ 'NetScheduleJobAdd', 'NetScheduleJobDel', 'NetScheduleJobEnum', |
4800 |
+ 'NetScheduleJobGetInfo', 'NetServerComputerNameAdd', 'NetServerComputerNameDel', |
4801 |
+ 'NetServerDiskEnum', 'NetServerEnum', 'NetServerEnumEx', 'NetServerGetInfo', |
4802 |
+ 'NetServerSetInfo', 'NetServerTransportAdd', 'NetServerTransportAddEx', |
4803 |
+ 'NetServerTransportDel', 'NetServerTransportEnum', 'NetSessionDel', 'NetSessionEnum', |
4804 |
+ 'NetSessionGetInfo', 'NetShareAdd', 'NetShareCheck', 'NetShareDel', 'NetShareEnum', |
4805 |
+ 'NetShareGetInfo', 'NetShareSetInfo', 'NetStatisticsGet', 'NetUseAdd', 'NetUseDel', |
4806 |
+ 'NetUseEnum', 'NetUseGetInfo', 'NetUserAdd', 'NetUserChangePassword', 'NetUserDel', |
4807 |
+ 'NetUserEnum', 'NetUserGetGroups', 'NetUserGetInfo', 'NetUserGetLocalGroups', |
4808 |
+ 'NetUserModalsGet', 'NetUserModalsSet', 'NetUserSetGroups', 'NetUserSetInfo', |
4809 |
+ 'NetWkstaGetInfo', 'NetWkstaSetInfo', 'NetWkstaTransportAdd', 'NetWkstaTransportDel', |
4810 |
+ 'NetWkstaTransportEnum', 'NetWkstaUserEnum', 'NetWkstaUserGetInfo', |
4811 |
+ 'NetWkstaUserSetInfo', 'WNetAddConnection2A', 'WNetAddConnection2W', |
4812 |
+ 'WNetAddConnection3A', 'WNetAddConnection3W', 'WNetAddConnectionA', |
4813 |
+ 'WNetAddConnectionW', 'WNetCancelConnection2A', 'WNetCancelConnection2W', |
4814 |
+ 'WNetCancelConnectionA', 'WNetCancelConnectionW', 'WNetCloseEnum', |
4815 |
+ 'WNetConnectionDialog', 'WNetConnectionDialog1A', 'WNetConnectionDialog1W', |
4816 |
+ 'WNetDisconnectDialog', 'WNetDisconnectDialog1A', 'WNetDisconnectDialog1W', |
4817 |
+ 'WNetEnumResourceA', 'WNetEnumResourceW', 'WNetGetConnectionA', 'WNetGetConnectionW', |
4818 |
+ 'WNetGetLastErrorA', 'WNetGetLastErrorW', 'WNetGetNetworkInformationA', |
4819 |
+ 'WNetGetNetworkInformationW', 'WNetGetProviderNameA', 'WNetGetProviderNameW', |
4820 |
+ 'WNetGetResourceInformationA', 'WNetGetResourceInformationW', |
4821 |
+ 'WNetGetResourceParentA', 'WNetGetResourceParentW', 'WNetGetUniversalNameA', |
4822 |
+ 'WNetGetUniversalNameW', 'WNetGetUserA', 'WNetGetUserW', 'WNetOpenEnumA', |
4823 |
+ 'WNetOpenEnumW', 'WNetUseConnectionA', 'WnetUseConnectionW', |
4824 |
+ |
4825 |
+ 'accept', 'bind', 'closesocket', 'connect', 'gethostbyaddr', 'gethostbyname', |
4826 |
+ 'gethostname', 'getpeername', 'getprotobyname', 'getprotobynumber', 'getservbyname', |
4827 |
+ 'getservbyport', 'getsockname', 'getsockopt', 'htonl', 'htons', 'inet_addr', |
4828 |
+ 'inet_ntoa', 'ioctlsocket', 'listen', 'ntohl', 'ntohs', 'recv', 'recvfrom', 'select', |
4829 |
+ 'send', 'sendto', 'setsockopt', 'shutdown', 'socket', 'WSAAccept', |
4830 |
+ 'WSAAddressToStringA', 'WSAAddressToStringW', 'WSAAsyncGetHostByAddr', |
4831 |
+ 'WSAAsyncGetHostByName', 'WSAAsyncGetProtoByName', 'WSAAsyncGetProtoByNumber', |
4832 |
+ 'WSAAsyncGetServByName', 'WSAAsyncGetServByPort', 'WSAAsyncSelect', |
4833 |
+ 'WSACancelAsyncRequest', 'WSACancelBlockingCall', 'WSACleanup', 'WSACloseEvent', |
4834 |
+ 'WSAConnect', 'WSACreateEvent', 'WSADuplicateSocketA', 'WSADuplicateSocketW', |
4835 |
+ 'WSAEnumNameSpaceProvidersA', 'WSAEnumNameSpaceProvidersW', 'WSAEnumNetworkEvents', |
4836 |
+ 'WSAEnumProtocolsA', 'WSAEnumProtocolsW', 'WSAEventSelect', 'WSAGetLastError', |
4837 |
+ 'WSAGetOverlappedResult', 'WSAGetQOSByName', 'WSAGetServiceClassInfoA', |
4838 |
+ 'WSAGetServiceClassInfoW', 'WSAGetServiceClassNameByClassIdA', |
4839 |
+ 'WSAGetServiceClassNameByClassIdW', 'WSAHtonl', 'WSAHtons', 'WSAInstallServiceClassA', |
4840 |
+ 'WSAInstallServiceClassW', 'WSAIoctl', 'WSAIsBlocking', 'WSAJoinLeaf', |
4841 |
+ 'WSALookupServiceBeginA', 'WSALookupServiceBeginW', 'WSALookupServiceEnd', |
4842 |
+ 'WSALookupServiceNextA', 'WSALookupServiceNextW', 'WSANtohl', 'WSANtohs', |
4843 |
+ 'WSAProviderConfigChange', 'WSARecv', 'WSARecvDisconnect', 'WSARecvFrom', |
4844 |
+ 'WSARemoveServiceClass', 'WSAResetEvent', 'WSASend', 'WSASendDisconnect', 'WSASendTo', |
4845 |
+ 'WSASetBlockingHook', 'WSASetEvent', 'WSASetLastError', 'WSASetServiceA', |
4846 |
+ 'WSASetServiceW', 'WSASocketA', 'WSASocketW', 'WSAStartup', 'WSAStringToAddressA', |
4847 |
+ 'WSAStringToAddressW', 'WSAUnhookBlockingHook', 'WSAWaitForMultipleEvents', |
4848 |
+ 'WSCDeinstallProvider', 'WSCEnableNSProvider', 'WSCEnumProtocols', |
4849 |
+ 'WSCGetProviderPath', 'WSCInstallNameSpace', 'WSCInstallProvider', |
4850 |
+ 'WSCUnInstallNameSpace', |
4851 |
+ |
4852 |
+ 'ContinueDebugEvent', 'DebugActiveProcess', 'DebugBreak', 'FatalExit', |
4853 |
+ 'FlushInstructionCache', 'GetThreadContext', 'GetThreadSelectorEntry', |
4854 |
+ 'IsDebuggerPresent', 'OutputDebugStringA', 'OutputDebugStringW', 'ReadProcessMemory', |
4855 |
+ 'SetDebugErrorLevel', 'SetThreadContext', 'WaitForDebugEvent', 'WriteProcessMemory', |
4856 |
+ |
4857 |
+ 'CloseHandle', 'DuplicateHandle', 'GetHandleInformation', 'SetHandleInformation', |
4858 |
+ |
4859 |
+ 'AdjustWindowRect', 'AdjustWindowRectEx', 'AllowSetForegroundWindow', |
4860 |
+ 'AnimateWindow', 'AnyPopup', 'ArrangeIconicWindows', 'BeginDeferWindowPos', |
4861 |
+ 'BringWindowToTop', 'CascadeWindows', 'ChildWindowFromPoint', |
4862 |
+ 'ChildWindowFromPointEx', 'CloseWindow', 'CreateWindowExA', 'CreateWindowExW', |
4863 |
+ 'DeferWindowPos', 'DestroyWindow', 'EndDeferWindowPos', 'EnumChildWindows', |
4864 |
+ 'EnumThreadWindows', 'EnumWindows', 'FindWindowA', 'FindWindowExA', 'FindWindowExW', |
4865 |
+ 'FindWindowW', 'GetAltTabInfoA', 'GetAltTabInfoW', 'GetAncestor', 'GetClientRect', |
4866 |
+ 'GetDesktopWindow', 'GetForegroundWindow', 'GetGUIThreadInfo', 'GetLastActivePopup', |
4867 |
+ 'GetLayout', 'GetParent', 'GetProcessDefaultLayout', 'GetTitleBarInf', 'GetTopWindow', |
4868 |
+ 'GetWindow', 'GetWindowInfo', 'GetWindowModuleFileNameA', 'GetWindowModuleFileNameW', |
4869 |
+ 'GetWindowPlacement', 'GetWindowRect', 'GetWindowTextA', 'GetWindowTextLengthA', |
4870 |
+ 'GetWindowTextLengthW', 'GetWindowTextW', 'GetWindowThreadProcessId', 'IsChild', |
4871 |
+ 'IsIconic', 'IsWindow', 'IsWindowUnicode', 'IsWindowVisible', 'IsZoomed', |
4872 |
+ 'LockSetForegroundWindow', 'MoveWindow', 'OpenIcon', 'RealChildWindowFromPoint', |
4873 |
+ 'RealGetWindowClassA', 'RealGetWindowClassW', 'SetForegroundWindow', |
4874 |
+ 'SetLayeredWindowAttributes', 'SetLayout', 'SetParent', 'SetProcessDefaultLayout', |
4875 |
+ 'SetWindowPlacement', 'SetWindowPos', 'SetWindowTextA', 'SetWindowTextW', |
4876 |
+ 'ShowOwnedPopups', 'ShowWindow', 'ShowWindowAsync', 'TileWindows', |
4877 |
+ 'UpdateLayeredWindow', 'WindowFromPoint', |
4878 |
+ |
4879 |
+ 'CreateDialogIndirectParamA', 'CreateDialogIndirectParamW', 'CreateDialogParamA', |
4880 |
+ 'CreateDialogParamW', 'DefDlgProcA', 'DefDlgProcW', 'DialogBoxIndirectParamA', |
4881 |
+ 'DialogBoxIndirectParamW', 'DialogBoxParamA', 'DialogBoxParamW', 'EndDialog', |
4882 |
+ 'GetDialogBaseUnits', 'GetDlgCtrlID', 'GetDlgItem', 'GetDlgItemInt', |
4883 |
+ 'GetDlgItemTextA', 'GetDlgItemTextW', 'GetNextDlgGroupItem', 'GetNextDlgTabItem', |
4884 |
+ 'IsDialogMessageA', 'IsDialogMessageW', 'MapDialogRect', 'MessageBoxA', |
4885 |
+ 'MessageBoxExA', 'MessageBoxExW', 'MessageBoxIndirectA', 'MessageBoxIndirectW', |
4886 |
+ 'MessageBoxW', 'SendDlgItemMessageA', 'SendDlgItemMessageW', 'SetDlgItemInt', |
4887 |
+ 'SetDlgItemTextA', 'SetDlgItemTextW', |
4888 |
+ |
4889 |
+ 'GetWriteWatch', 'GlobalMemoryStatus', 'GlobalMemoryStatusEx', 'IsBadCodePtr', |
4890 |
+ 'IsBadReadPtr', 'IsBadStringPtrA', 'IsBadStringPtrW', 'IsBadWritePtr', |
4891 |
+ 'ResetWriteWatch', 'AllocateUserPhysicalPages', 'FreeUserPhysicalPages', |
4892 |
+ 'MapUserPhysicalPages', 'MapUserPhysicalPagesScatter', 'GlobalAlloc', 'GlobalFlags', |
4893 |
+ 'GlobalFree', 'GlobalHandle', 'GlobalLock', 'GlobalReAlloc', 'GlobalSize', |
4894 |
+ 'GlobalUnlock', 'LocalAlloc', 'LocalFlags', 'LocalFree', 'LocalHandle', 'LocalLock', |
4895 |
+ 'LocalReAlloc', 'LocalSize', 'LocalUnlock', 'GetProcessHeap', 'GetProcessHeaps', |
4896 |
+ 'HeapAlloc', 'HeapCompact', 'HeapCreate', 'HeapDestroy', 'HeapFree', 'HeapLock', |
4897 |
+ 'HeapReAlloc', 'HeapSize', 'HeapUnlock', 'HeapValidate', 'HeapWalk', 'VirtualAlloc', |
4898 |
+ 'VirtualAllocEx', 'VirtualFree', 'VirtualFreeEx', 'VirtualLock', 'VirtualProtect', |
4899 |
+ 'VirtualProtectEx', 'VirtualQuery', 'VirtualQueryEx', 'VirtualUnlock', |
4900 |
+ 'GetFreeSpace', 'GlobalCompact', 'GlobalFix', 'GlobalUnfix', 'GlobalUnWire', |
4901 |
+ 'GlobalWire', 'IsBadHugeReadPtr', 'IsBadHugeWritePtr', 'LocalCompact', 'LocalShrink', |
4902 |
+ |
4903 |
+ 'GetClassInfoA', 'GetClassInfoW', 'GetClassInfoExA', 'GetClassInfoExW', |
4904 |
+ 'GetClassLongA', 'GetClassLongW', 'GetClassLongPtrA', 'GetClassLongPtrW', |
4905 |
+ 'RegisterClassA', 'RegisterClassW', 'RegisterClassExA', 'RegisterClassExW', |
4906 |
+ 'SetClassLongA', 'SetClassLongW', 'SetClassLongPtrA', 'SetClassLongPtrW', |
4907 |
+ 'SetWindowLongA', 'SetWindowLongW', 'SetWindowLongPtrA', 'SetWindowLongPtrW', |
4908 |
+ 'UnregisterClassA', 'UnregisterClassW', 'GetClassWord', 'GetWindowWord', |
4909 |
+ 'SetClassWord', 'SetWindowWord' |
4910 |
+ ), |
4911 |
+ // Native API |
4912 |
+ 6 => array( |
4913 |
+ 'CsrAllocateCaptureBuffer', 'CsrAllocateCapturePointer', 'CsrAllocateMessagePointer', |
4914 |
+ 'CsrCaptureMessageBuffer', 'CsrCaptureMessageString', 'CsrCaptureTimeout', |
4915 |
+ 'CsrClientCallServer', 'CsrClientConnectToServer', 'CsrFreeCaptureBuffer', |
4916 |
+ 'CsrIdentifyAlertableThread', 'CsrNewThread', 'CsrProbeForRead', 'CsrProbeForWrite', |
4917 |
+ 'CsrSetPriorityClass', |
4918 |
+ |
4919 |
+ 'LdrAccessResource', 'LdrDisableThreadCalloutsForDll', 'LdrEnumResources', |
4920 |
+ 'LdrFindEntryForAddress', 'LdrFindResource_U', 'LdrFindResourceDirectory_U', |
4921 |
+ 'LdrGetDllHandle', 'LdrGetProcedureAddress', 'LdrInitializeThunk', 'LdrLoadDll', |
4922 |
+ 'LdrProcessRelocationBlock', 'LdrQueryImageFileExecutionOptions', |
4923 |
+ 'LdrQueryProcessModuleInformation', 'LdrShutdownProcess', 'LdrShutdownThread', |
4924 |
+ 'LdrUnloadDll', 'LdrVerifyImageMatchesChecksum', |
4925 |
+ |
4926 |
+ 'NtAcceptConnectPort', 'ZwAcceptConnectPort', 'NtCompleteConnectPort', |
4927 |
+ 'ZwCompleteConnectPort', 'NtConnectPort', 'ZwConnectPort', 'NtCreatePort', |
4928 |
+ 'ZwCreatePort', 'NtImpersonateClientOfPort', 'ZwImpersonateClientOfPort', |
4929 |
+ 'NtListenPort', 'ZwListenPort', 'NtQueryInformationPort', 'ZwQueryInformationPort', |
4930 |
+ 'NtReadRequestData', 'ZwReadRequestData', 'NtReplyPort', 'ZwReplyPort', |
4931 |
+ 'NtReplyWaitReceivePort', 'ZwReplyWaitReceivePort', 'NtReplyWaitReplyPort', |
4932 |
+ 'ZwReplyWaitReplyPort', 'NtRequestPort', 'ZwRequestPort', 'NtRequestWaitReplyPort', |
4933 |
+ 'ZwRequestWaitReplyPort', 'NtSecureConnectPort', 'ZwSecureConnectPort', |
4934 |
+ 'NtWriteRequestData', 'ZwWriteRequestData', |
4935 |
+ |
4936 |
+ 'NtAccessCheck', 'ZwAccessCheck', 'NtAccessCheckAndAuditAlarm', |
4937 |
+ 'ZwAccessCheckAndAuditAlarm', 'NtAccessCheckByType', 'ZwAccessCheckByType', |
4938 |
+ 'NtAccessCheckByTypeAndAuditAlarm', 'ZwAccessCheckByTypeAndAuditAlarm', |
4939 |
+ 'NtAccessCheckByTypeResultList', 'ZwAccessCheckByTypeResultList', |
4940 |
+ 'NtAdjustGroupsToken', 'ZwAdjustGroupsToken', 'NtAdjustPrivilegesToken', |
4941 |
+ 'ZwAdjustPrivilegesToken', 'NtCloseObjectAuditAlarm', 'ZwCloseObjectAuditAlarm', |
4942 |
+ 'NtCreateToken', 'ZwCreateToken', 'NtDeleteObjectAuditAlarm', |
4943 |
+ 'ZwDeleteObjectAuditAlarm', 'NtDuplicateToken', 'ZwDuplicateToken', |
4944 |
+ 'NtFilterToken', 'ZwFilterToken', 'NtImpersonateThread', 'ZwImpersonateThread', |
4945 |
+ 'NtOpenObjectAuditAlarm', 'ZwOpenObjectAuditAlarm', 'NtOpenProcessToken', |
4946 |
+ 'ZwOpenProcessToken', 'NtOpenThreadToken', 'ZwOpenThreadToken', 'NtPrivilegeCheck', |
4947 |
+ 'ZwPrivilegeCheck', 'NtPrivilegedServiceAuditAlarm', 'ZwPrivilegedServiceAuditAlarm', |
4948 |
+ 'NtPrivilegeObjectAuditAlarm', 'ZwPrivilegeObjectAuditAlarm', |
4949 |
+ 'NtQueryInformationToken', 'ZwQueryInformationToken', 'NtQuerySecurityObject', |
4950 |
+ 'ZwQuerySecurityObject', 'NtSetInformationToken', 'ZwSetInformationToken', |
4951 |
+ 'NtSetSecurityObject', 'ZwSetSecurityObject', |
4952 |
+ |
4953 |
+ 'NtAddAtom', 'ZwAddAtom', 'NtDeleteAtom', 'ZwDeleteAtom', 'NtFindAtom', 'ZwFindAtom', |
4954 |
+ 'NtQueryInformationAtom', 'ZwQueryInformationAtom', |
4955 |
+ |
4956 |
+ 'NtAlertResumeThread', 'ZwAlertResumeThread', 'NtAlertThread', 'ZwAlertThread', |
4957 |
+ 'NtCreateProcess', 'ZwCreateProcess', 'NtCreateThread', 'ZwCreateThread', |
4958 |
+ 'NtCurrentTeb', 'NtDelayExecution', 'ZwDelayExecution', 'NtGetContextThread', |
4959 |
+ 'ZwGetContextThread', 'NtOpenProcess', 'ZwOpenProcess', 'NtOpenThread', |
4960 |
+ 'ZwOpenThread', 'NtQueryInformationProcess', 'ZwQueryInformationProcess', |
4961 |
+ 'NtQueryInformationThread', 'ZwQueryInformationThread', 'NtQueueApcThread', |
4962 |
+ 'ZwQueueApcThread', 'NtResumeThread', 'ZwResumeThread', 'NtSetContextThread', |
4963 |
+ 'ZwSetContextThread', 'NtSetHighWaitLowThread', 'ZwSetHighWaitLowThread', |
4964 |
+ 'NtSetInformationProcess', 'ZwSetInformationProcess', 'NtSetInformationThread', |
4965 |
+ 'ZwSetInformationThread', 'NtSetLowWaitHighThread', 'ZwSetLowWaitHighThread', |
4966 |
+ 'NtSuspendThread', 'ZwSuspendThread', 'NtTerminateProcess', 'ZwTerminateProcess', |
4967 |
+ 'NtTerminateThread', 'ZwTerminateThread', 'NtTestAlert', 'ZwTestAlert', |
4968 |
+ 'NtYieldExecution', 'ZwYieldExecution', |
4969 |
+ |
4970 |
+ 'NtAllocateVirtualMemory', 'ZwAllocateVirtualMemory', 'NtAllocateVirtualMemory64', |
4971 |
+ 'ZwAllocateVirtualMemory64', 'NtAreMappedFilesTheSame', 'ZwAreMappedFilesTheSame', |
4972 |
+ 'NtCreateSection', 'ZwCreateSection', 'NtExtendSection', 'ZwExtendSection', |
4973 |
+ 'NtFlushVirtualMemory', 'ZwFlushVirtualMemory', 'NtFreeVirtualMemory', |
4974 |
+ 'ZwFreeVirtualMemory', 'NtFreeVirtualMemory64', 'ZwFreeVirtualMemory64', |
4975 |
+ 'NtLockVirtualMemory', 'ZwLockVirtualMemory', 'NtMapViewOfSection', |
4976 |
+ 'ZwMapViewOfSection', 'NtMapViewOfVlmSection', 'ZwMapViewOfVlmSection', |
4977 |
+ 'NtOpenSection', 'ZwOpenSection', 'NtProtectVirtualMemory', 'ZwProtectVirtualMemory', |
4978 |
+ 'NtProtectVirtualMemory64', 'ZwProtectVirtualMemory64', 'NtQueryVirtualMemory', |
4979 |
+ 'ZwQueryVirtualMemory', 'NtQueryVirtualMemory64', 'ZwQueryVirtualMemory64', |
4980 |
+ 'NtReadVirtualMemory', 'ZwReadVirtualMemory', 'NtReadVirtualMemory64', |
4981 |
+ 'ZwReadVirtualMemory64', 'NtUnlockVirtualMemory', 'ZwUnlockVirtualMemory', |
4982 |
+ 'NtUnmapViewOfSection', 'ZwUnmapViewOfSection', 'NtUnmapViewOfVlmSection', |
4983 |
+ 'ZwUnmapViewOfVlmSection', 'NtWriteVirtualMemory', 'ZwWriteVirtualMemory', |
4984 |
+ 'NtWriteVirtualMemory64', 'ZwWriteVirtualMemory64', |
4985 |
+ |
4986 |
+ 'NtAssignProcessToJobObject', 'ZwAssignProcessToJobObject', 'NtCreateJobObject', |
4987 |
+ 'ZwCreateJobObject', 'NtOpenJobObject', 'ZwOpenJobObject', |
4988 |
+ 'NtQueryInformationJobObject', 'ZwQueryInformationJobObject', |
4989 |
+ 'NtSetInformationJobObject', 'ZwSetInformationJobObject', 'NtTerminateJobObject', |
4990 |
+ 'ZwTerminateJobObject', |
4991 |
+ |
4992 |
+ 'NtCancelIoFile', 'ZwCancelIoFile', 'NtCreateFile', 'ZwCreateFile', |
4993 |
+ 'NtCreateIoCompletion', 'ZwCreateIoCompletion', 'NtDeleteFile', 'ZwDeleteFile', |
4994 |
+ 'NtDeviceIoControlFile', 'ZwDeviceIoControlFile', 'NtFlushBuffersFile', |
4995 |
+ 'ZwFlushBuffersFile', 'NtFsControlFile', 'ZwFsControlFile', 'NtLockFile', 'ZwLockFile', |
4996 |
+ 'NtNotifyChangeDirectoryFile', 'ZwNotifyChangeDirectoryFile', 'NtOpenFile', |
4997 |
+ 'ZwOpenFile', 'NtOpenIoCompletion', 'ZwOpenIoCompletion', 'NtQueryAttributesFile', |
4998 |
+ 'ZwQueryAttributesFile', 'NtQueryDirectoryFile', 'ZwQueryDirectoryFile', |
4999 |
+ 'NtQueryEaFile', 'ZwQueryEaFile', 'NtQueryIoCompletion', 'ZwQueryIoCompletion', |
5000 |
+ 'NtQueryQuotaInformationFile', 'ZwQueryQuotaInformationFile', |
5001 |
+ 'NtQueryVolumeInformationFile', 'ZwQueryVolumeInformationFile', 'NtReadFile', |
5002 |
+ 'ZwReadFile', 'NtReadFile64', 'ZwReadFile64', 'NtReadFileScatter', 'ZwReadFileScatter', |
5003 |
+ 'NtRemoveIoCompletion', 'ZwRemoveIoCompletion', 'NtSetEaFile', 'ZwSetEaFile', |
5004 |
+ 'NtSetInformationFile', 'ZwSetInformationFile', 'NtSetIoCompletion', |
5005 |
+ 'ZwSetIoCompletion', 'NtSetQuotaInformationFile', 'ZwSetQuotaInformationFile', |
5006 |
+ 'NtSetVolumeInformationFile', 'ZwSetVolumeInformationFile', 'NtUnlockFile', |
5007 |
+ 'ZwUnlockFile', 'NtWriteFile', 'ZwWriteFile', 'NtWriteFile64','ZwWriteFile64', |
5008 |
+ 'NtWriteFileGather', 'ZwWriteFileGather', 'NtQueryFullAttributesFile', |
5009 |
+ 'ZwQueryFullAttributesFile', 'NtQueryInformationFile', 'ZwQueryInformationFile', |
5010 |
+ |
5011 |
+ 'RtlAbortRXact', 'RtlAbsoluteToSelfRelativeSD', 'RtlAcquirePebLock', |
5012 |
+ 'RtlAcquireResourceExclusive', 'RtlAcquireResourceShared', 'RtlAddAccessAllowedAce', |
5013 |
+ 'RtlAddAccessDeniedAce', 'RtlAddAce', 'RtlAddActionToRXact', 'RtlAddAtomToAtomTable', |
5014 |
+ 'RtlAddAttributeActionToRXact', 'RtlAddAuditAccessAce', 'RtlAddCompoundAce', |
5015 |
+ 'RtlAdjustPrivilege', 'RtlAllocateAndInitializeSid', 'RtlAllocateHandle', |
5016 |
+ 'RtlAllocateHeap', 'RtlAnsiCharToUnicodeChar', 'RtlAnsiStringToUnicodeSize', |
5017 |
+ 'RtlAnsiStringToUnicodeString', 'RtlAppendAsciizToString', 'RtlAppendStringToString', |
5018 |
+ 'RtlAppendUnicodeStringToString', 'RtlAppendUnicodeToString', 'RtlApplyRXact', |
5019 |
+ 'RtlApplyRXactNoFlush', 'RtlAreAllAccessesGranted', 'RtlAreAnyAccessesGranted', |
5020 |
+ 'RtlAreBitsClear', 'RtlAreBitsSet', 'RtlAssert', 'RtlCaptureStackBackTrace', |
5021 |
+ 'RtlCharToInteger', 'RtlCheckRegistryKey', 'RtlClearAllBits', 'RtlClearBits', |
5022 |
+ 'RtlClosePropertySet', 'RtlCompactHeap', 'RtlCompareMemory', 'RtlCompareMemoryUlong', |
5023 |
+ 'RtlCompareString', 'RtlCompareUnicodeString', 'RtlCompareVariants', |
5024 |
+ 'RtlCompressBuffer', 'RtlConsoleMultiByteToUnicodeN', 'RtlConvertExclusiveToShared', |
5025 |
+ 'RtlConvertLongToLargeInteger', 'RtlConvertPropertyToVariant', |
5026 |
+ 'RtlConvertSharedToExclusive', 'RtlConvertSidToUnicodeString', |
5027 |
+ 'RtlConvertUiListToApiList', 'RtlConvertUlongToLargeInteger', |
5028 |
+ 'RtlConvertVariantToProperty', 'RtlCopyLuid', 'RtlCopyLuidAndAttributesArray', |
5029 |
+ 'RtlCopySecurityDescriptor', 'RtlCopySid', 'RtlCopySidAndAttributesArray', |
5030 |
+ 'RtlCopyString', 'RtlCopyUnicodeString', 'RtlCreateAcl', 'RtlCreateAndSetSD', |
5031 |
+ 'RtlCreateAtomTable', 'RtlCreateEnvironment', 'RtlCreateHeap', |
5032 |
+ 'RtlCreateProcessParameters', 'RtlCreatePropertySet', 'RtlCreateQueryDebugBuffer', |
5033 |
+ 'RtlCreateRegistryKey', 'RtlCreateSecurityDescriptor', 'RtlCreateTagHeap', |
5034 |
+ 'RtlCreateUnicodeString', 'RtlCreateUnicodeStringFromAsciiz', 'RtlCreateUserProcess', |
5035 |
+ 'RtlCreateUserSecurityObject', 'RtlCreateUserThread', 'RtlCustomCPToUnicodeN', |
5036 |
+ 'RtlCutoverTimeToSystemTime', 'RtlDecompressBuffer', 'RtlDecompressFragment', |
5037 |
+ 'RtlDelete', 'RtlDeleteAce', 'RtlDeleteAtomFromAtomTable', 'RtlDeleteCriticalSection', |
5038 |
+ 'RtlDeleteElementGenericTable', 'RtlDeleteNoSplay', 'RtlDeleteRegistryValue', |
5039 |
+ 'RtlDeleteResource', 'RtlDeleteSecurityObject', 'RtlDeNormalizeProcessParams', |
5040 |
+ 'RtlDestroyAtomTable', 'RtlDestroyEnvironment', 'RtlDestroyHandleTable', |
5041 |
+ 'RtlDestroyHeap', 'RtlDestroyProcessParameters', 'RtlDestroyQueryDebugBuffer', |
5042 |
+ 'RtlDetermineDosPathNameType_U', 'RtlDoesFileExists_U', 'RtlDosPathNameToNtPathName_U', |
5043 |
+ 'RtlDosSearchPath_U', 'RtlDowncaseUnicodeString', 'RtlDumpResource', |
5044 |
+ 'RtlEmptyAtomTable', 'RtlEnlargedIntegerMultiply', 'RtlEnlargedUnsignedDivide', |
5045 |
+ 'RtlEnlargedUnsignedMultiply', 'RtlEnterCriticalSection', 'RtlEnumerateGenericTable', |
5046 |
+ 'RtlEnumerateGenericTableWithoutSplaying', 'RtlEnumerateProperties', |
5047 |
+ 'RtlEnumProcessHeaps', 'RtlEqualComputerName', 'RtlEqualDomainName', 'RtlEqualLuid', |
5048 |
+ 'RtlEqualPrefixSid', 'RtlEqualSid', 'RtlEqualString', 'RtlEqualUnicodeString', |
5049 |
+ 'RtlEraseUnicodeString', 'RtlExpandEnvironmentStrings_U', 'RtlExtendedIntegerMultiply', |
5050 |
+ 'RtlExtendedLargeIntegerDivide', 'RtlExtendedMagicDivide', 'RtlExtendHeap', |
5051 |
+ 'RtlFillMemory', 'RtlFillMemoryUlong', 'RtlFindClearBits', 'RtlFindClearBitsAndSet', |
5052 |
+ 'RtlFindLongestRunClear', 'RtlFindLongestRunSet', 'RtlFindMessage', 'RtlFindSetBits', |
5053 |
+ 'RtlFindSetBitsAndClear', 'RtlFirstFreeAce', 'RtlFlushPropertySet', |
5054 |
+ 'RtlFormatCurrentUserKeyPath', 'RtlFormatMessage', 'RtlFreeAnsiString', |
5055 |
+ 'RtlFreeHandle', 'RtlFreeHeap', 'RtlFreeOemString', 'RtlFreeSid', |
5056 |
+ 'RtlFreeUnicodeString', 'RtlFreeUserThreadStack', 'RtlGenerate8dot3Name', 'RtlGetAce', |
5057 |
+ 'RtlGetCallersAddress', 'RtlGetCompressionWorkSpaceSize', |
5058 |
+ 'RtlGetControlSecurityDescriptor', 'RtlGetCurrentDirectory_U', |
5059 |
+ 'RtlGetDaclSecurityDescriptor', 'RtlGetElementGenericTable', 'RtlGetFullPathName_U', |
5060 |
+ 'RtlGetGroupSecurityDescriptor', 'RtlGetLongestNtPathLength', 'RtlGetNtGlobalFlags', |
5061 |
+ 'RtlGetNtProductType', 'RtlGetOwnerSecurityDescriptor', 'RtlGetProcessHeaps', |
5062 |
+ 'RtlGetSaclSecurityDescriptor', 'RtlGetUserInfoHeap', 'RtlGuidToPropertySetName', |
5063 |
+ 'RtlIdentifierAuthoritySid', 'RtlImageDirectoryEntryToData', 'RtlImageNtHeader', |
5064 |
+ 'RtlImageRvaToSection', 'RtlImageRvaToVa', 'RtlImpersonateSelf', 'RtlInitAnsiString', |
5065 |
+ 'RtlInitCodePageTable', 'RtlInitializeAtomPackage', 'RtlInitializeBitMap', |
5066 |
+ 'RtlInitializeContext', 'RtlInitializeCriticalSection', |
5067 |
+ 'RtlInitializeCriticalSectionAndSpinCount', 'RtlInitializeGenericTable', |
5068 |
+ 'RtlInitializeHandleTable', 'RtlInitializeResource', 'RtlInitializeRXact', |
5069 |
+ 'RtlInitializeSid', 'RtlInitNlsTables', 'RtlInitString', 'RtlInitUnicodeString', |
5070 |
+ 'RtlInsertElementGenericTable', 'RtlIntegerToChar', 'RtlIntegerToUnicodeString', |
5071 |
+ 'RtlIsDosDeviceName_U', 'RtlIsGenericTableEmpty', 'RtlIsNameLegalDOS8Dot3', |
5072 |
+ 'RtlIsTextUnicode', 'RtlIsValidHandle', 'RtlIsValidIndexHandle', 'RtlLargeIntegerAdd', |
5073 |
+ 'RtlLargeIntegerArithmeticShift', 'RtlLargeIntegerDivide', 'RtlLargeIntegerNegate', |
5074 |
+ 'RtlLargeIntegerShiftLeft', 'RtlLargeIntegerShiftRight', 'RtlLargeIntegerSubtract', |
5075 |
+ 'RtlLargeIntegerToChar', 'RtlLeaveCriticalSection', 'RtlLengthRequiredSid', |
5076 |
+ 'RtlLengthSecurityDescriptor', 'RtlLengthSid', 'RtlLocalTimeToSystemTime', |
5077 |
+ 'RtlLockHeap', 'RtlLookupAtomInAtomTable', 'RtlLookupElementGenericTable', |
5078 |
+ 'RtlMakeSelfRelativeSD', 'RtlMapGenericMask', 'RtlMoveMemory', |
5079 |
+ 'RtlMultiByteToUnicodeN', 'RtlMultiByteToUnicodeSize', 'RtlNewInstanceSecurityObject', |
5080 |
+ 'RtlNewSecurityGrantedAccess', 'RtlNewSecurityObject', 'RtlNormalizeProcessParams', |
5081 |
+ 'RtlNtStatusToDosError', 'RtlNumberGenericTableElements', 'RtlNumberOfClearBits', |
5082 |
+ 'RtlNumberOfSetBits', 'RtlOemStringToUnicodeSize', 'RtlOemStringToUnicodeString', |
5083 |
+ 'RtlOemToUnicodeN', 'RtlOnMappedStreamEvent', 'RtlOpenCurrentUser', |
5084 |
+ 'RtlPcToFileHeader', 'RtlPinAtomInAtomTable', 'RtlpNtCreateKey', |
5085 |
+ 'RtlpNtEnumerateSubKey', 'RtlpNtMakeTemporaryKey', 'RtlpNtOpenKey', |
5086 |
+ 'RtlpNtQueryValueKey', 'RtlpNtSetValueKey', 'RtlPrefixString', |
5087 |
+ 'RtlPrefixUnicodeString', 'RtlPropertySetNameToGuid', 'RtlProtectHeap', |
5088 |
+ 'RtlpUnWaitCriticalSection', 'RtlpWaitForCriticalSection', 'RtlQueryAtomInAtomTable', |
5089 |
+ 'RtlQueryEnvironmentVariable_U', 'RtlQueryInformationAcl', |
5090 |
+ 'RtlQueryProcessBackTraceInformation', 'RtlQueryProcessDebugInformation', |
5091 |
+ 'RtlQueryProcessHeapInformation', 'RtlQueryProcessLockInformation', |
5092 |
+ 'RtlQueryProperties', 'RtlQueryPropertyNames', 'RtlQueryPropertySet', |
5093 |
+ 'RtlQueryRegistryValues', 'RtlQuerySecurityObject', 'RtlQueryTagHeap', |
5094 |
+ 'RtlQueryTimeZoneInformation', 'RtlRaiseException', 'RtlRaiseStatus', 'RtlRandom', |
5095 |
+ 'RtlReAllocateHeap', 'RtlRealPredecessor', 'RtlRealSuccessor', 'RtlReleasePebLock', |
5096 |
+ 'RtlReleaseResource', 'RtlRemoteCall', 'RtlResetRtlTranslations', |
5097 |
+ 'RtlRunDecodeUnicodeString', 'RtlRunEncodeUnicodeString', 'RtlSecondsSince1970ToTime', |
5098 |
+ 'RtlSecondsSince1980ToTime', 'RtlSelfRelativeToAbsoluteSD', 'RtlSetAllBits', |
5099 |
+ 'RtlSetAttributesSecurityDescriptor', 'RtlSetBits', 'RtlSetCriticalSectionSpinCount', |
5100 |
+ 'RtlSetCurrentDirectory_U', 'RtlSetCurrentEnvironment', 'RtlSetDaclSecurityDescriptor', |
5101 |
+ 'RtlSetEnvironmentVariable', 'RtlSetGroupSecurityDescriptor', 'RtlSetInformationAcl', |
5102 |
+ 'RtlSetOwnerSecurityDescriptor', 'RtlSetProperties', 'RtlSetPropertyNames', |
5103 |
+ 'RtlSetPropertySetClassId', 'RtlSetSaclSecurityDescriptor', 'RtlSetSecurityObject', |
5104 |
+ 'RtlSetTimeZoneInformation', 'RtlSetUnicodeCallouts', 'RtlSetUserFlagsHeap', |
5105 |
+ 'RtlSetUserValueHeap', 'RtlSizeHeap', 'RtlSplay', 'RtlStartRXact', |
5106 |
+ 'RtlSubAuthorityCountSid', 'RtlSubAuthoritySid', 'RtlSubtreePredecessor', |
5107 |
+ 'RtlSubtreeSuccessor', 'RtlSystemTimeToLocalTime', 'RtlTimeFieldsToTime', |
5108 |
+ 'RtlTimeToElapsedTimeFields', 'RtlTimeToSecondsSince1970', 'RtlTimeToSecondsSince1980', |
5109 |
+ 'RtlTimeToTimeFields', 'RtlTryEnterCriticalSection', 'RtlUnicodeStringToAnsiSize', |
5110 |
+ 'RtlUnicodeStringToAnsiString', 'RtlUnicodeStringToCountedOemString', |
5111 |
+ 'RtlUnicodeStringToInteger', 'RtlUnicodeStringToOemSize', |
5112 |
+ 'RtlUnicodeStringToOemString', 'RtlUnicodeToCustomCPN', 'RtlUnicodeToMultiByteN', |
5113 |
+ 'RtlUnicodeToMultiByteSize', 'RtlUnicodeToOemN', 'RtlUniform', 'RtlUnlockHeap', |
5114 |
+ 'RtlUnwind', 'RtlUpcaseUnicodeChar', 'RtlUpcaseUnicodeString', |
5115 |
+ 'RtlUpcaseUnicodeStringToAnsiString', 'RtlUpcaseUnicodeStringToCountedOemString', |
5116 |
+ 'RtlUpcaseUnicodeStringToOemString', 'RtlUpcaseUnicodeToCustomCPN', |
5117 |
+ 'RtlUpcaseUnicodeToMultiByteN', 'RtlUpcaseUnicodeToOemN', 'RtlUpperChar', |
5118 |
+ 'RtlUpperString', 'RtlUsageHeap', 'RtlValidAcl', 'RtlValidateHeap', |
5119 |
+ 'RtlValidateProcessHeaps', 'RtlValidSecurityDescriptor', 'RtlValidSid', 'RtlWalkHeap', |
5120 |
+ 'RtlWriteRegistryValue', 'RtlxAnsiStringToUnicodeSize', 'RtlxOemStringToUnicodeSize', |
5121 |
+ 'RtlxUnicodeStringToAnsiSize', 'RtlxUnicodeStringToOemSize', 'RtlZeroHeap', |
5122 |
+ 'RtlZeroMemory', |
5123 |
+ |
5124 |
+ 'NtCancelTimer', 'ZwCancelTimer', 'NtCreateTimer', 'ZwCreateTimer', 'NtGetTickCount', |
5125 |
+ 'ZwGetTickCount', 'NtOpenTimer', 'ZwOpenTimer', 'NtQueryPerformanceCounter', |
5126 |
+ 'ZwQueryPerformanceCounter', 'NtQuerySystemTime', 'ZwQuerySystemTime', 'NtQueryTimer', |
5127 |
+ 'ZwQueryTimer', 'NtQueryTimerResolution', 'ZwQueryTimerResolution', 'NtSetSystemTime', |
5128 |
+ 'ZwSetSystemTime', 'NtSetTimer', 'ZwSetTimer', 'NtSetTimerResolution', |
5129 |
+ 'ZwSetTimerResolution', |
5130 |
+ |
5131 |
+ 'NtClearEvent', 'ZwClearEvent', 'NtCreateEvent', 'ZwCreateEvent', 'NtCreateEventPair', |
5132 |
+ 'ZwCreateEventPair', 'NtCreateMutant', 'ZwCreateMutant', 'NtCreateSemaphore', |
5133 |
+ 'ZwCreateSemaphore', 'NtOpenEvent', 'ZwOpenEvent', 'NtOpenEventPair', |
5134 |
+ 'ZwOpenEventPair', 'NtOpenMutant', 'ZwOpenMutant', 'NtOpenSemaphore', |
5135 |
+ 'ZwOpenSemaphore', 'NtPulseEvent', 'ZwPulseEvent', 'NtQueryEvent', 'ZwQueryEvent', |
5136 |
+ 'NtQueryMutant', 'ZwQueryMutant', 'NtQuerySemaphore', 'ZwQuerySemaphore', |
5137 |
+ 'NtReleaseMutant', 'ZwReleaseMutant', 'NtReleaseProcessMutant', |
5138 |
+ 'ZwReleaseProcessMutant', 'NtReleaseSemaphore', 'ZwReleaseSemaphore', |
5139 |
+ 'NtReleaseThreadMutant', 'ZwReleaseThreadMutant', 'NtResetEvent', 'ZwResetEvent', |
5140 |
+ 'NtSetEvent', 'ZwSetEvent', 'NtSetHighEventPair', 'ZwSetHighEventPair', |
5141 |
+ 'NtSetHighWaitLowEventPair', 'ZwSetHighWaitLowEventPair', 'NtSetLowEventPair', |
5142 |
+ 'ZwSetLowEventPair', 'NtSetLowWaitHighEventPair', 'ZwSetLowWaitHighEventPair', |
5143 |
+ 'NtSignalAndWaitForSingleObject', 'ZwSignalAndWaitForSingleObject', |
5144 |
+ 'NtWaitForMultipleObjects', 'ZwWaitForMultipleObjects', 'NtWaitForSingleObject', |
5145 |
+ 'ZwWaitForSingleObject', 'NtWaitHighEventPair', 'ZwWaitHighEventPair', |
5146 |
+ 'NtWaitLowEventPair', 'ZwWaitLowEventPair', |
5147 |
+ |
5148 |
+ 'NtClose', 'ZwClose', 'NtCreateDirectoryObject', 'ZwCreateDirectoryObject', |
5149 |
+ 'NtCreateSymbolicLinkObject', 'ZwCreateSymbolicLinkObject', |
5150 |
+ 'NtDuplicateObject', 'ZwDuplicateObject', 'NtMakeTemporaryObject', |
5151 |
+ 'ZwMakeTemporaryObject', 'NtOpenDirectoryObject', 'ZwOpenDirectoryObject', |
5152 |
+ 'NtOpenSymbolicLinkObject', 'ZwOpenSymbolicLinkObject', 'NtQueryDirectoryObject', |
5153 |
+ 'ZwQueryDirectoryObject', 'NtQueryObject', 'ZwQueryObject', |
5154 |
+ 'NtQuerySymbolicLinkObject', 'ZwQuerySymbolicLinkObject', 'NtSetInformationObject', |
5155 |
+ 'ZwSetInformationObject', |
5156 |
+ |
5157 |
+ 'NtContinue', 'ZwContinue', 'NtRaiseException', 'ZwRaiseException', |
5158 |
+ 'NtRaiseHardError', 'ZwRaiseHardError', 'NtSetDefaultHardErrorPort', |
5159 |
+ 'ZwSetDefaultHardErrorPort', |
5160 |
+ |
5161 |
+ 'NtCreateChannel', 'ZwCreateChannel', 'NtListenChannel', 'ZwListenChannel', |
5162 |
+ 'NtOpenChannel', 'ZwOpenChannel', 'NtReplyWaitSendChannel', 'ZwReplyWaitSendChannel', |
5163 |
+ 'NtSendWaitReplyChannel', 'ZwSendWaitReplyChannel', 'NtSetContextChannel', |
5164 |
+ 'ZwSetContextChannel', |
5165 |
+ |
5166 |
+ 'NtCreateKey', 'ZwCreateKey', 'NtDeleteKey', 'ZwDeleteKey', 'NtDeleteValueKey', |
5167 |
+ 'ZwDeleteValueKey', 'NtEnumerateKey', 'ZwEnumerateKey', 'NtEnumerateValueKey', |
5168 |
+ 'ZwEnumerateValueKey', 'NtFlushKey', 'ZwFlushKey', 'NtInitializeRegistry', |
5169 |
+ 'ZwInitializeRegistry', 'NtLoadKey', 'ZwLoadKey', 'NtLoadKey2', 'ZwLoadKey2', |
5170 |
+ 'NtNotifyChangeKey', 'ZwNotifyChangeKey', 'NtOpenKey', 'ZwOpenKey', 'NtQueryKey', |
5171 |
+ 'ZwQueryKey', 'NtQueryMultipleValueKey', 'ZwQueryMultipleValueKey', |
5172 |
+ 'NtQueryMultiplValueKey', 'ZwQueryMultiplValueKey', 'NtQueryValueKey', |
5173 |
+ 'ZwQueryValueKey', 'NtReplaceKey', 'ZwReplaceKey', 'NtRestoreKey', 'ZwRestoreKey', |
5174 |
+ 'NtSaveKey', 'ZwSaveKey', 'NtSetInformationKey', 'ZwSetInformationKey', |
5175 |
+ 'NtSetValueKey', 'ZwSetValueKey', 'NtUnloadKey', 'ZwUnloadKey', |
5176 |
+ |
5177 |
+ 'NtCreateMailslotFile', 'ZwCreateMailslotFile', 'NtCreateNamedPipeFile', |
5178 |
+ 'ZwCreateNamedPipeFile', 'NtCreatePagingFile', 'ZwCreatePagingFile', |
5179 |
+ |
5180 |
+ 'NtCreateProfile', 'ZwCreateProfile', 'NtQueryIntervalProfile', |
5181 |
+ 'ZwQueryIntervalProfile', 'NtRegisterThreadTerminatePort', |
5182 |
+ 'ZwRegisterThreadTerminatePort', 'NtSetIntervalProfile', 'ZwSetIntervalProfile', |
5183 |
+ 'NtStartProfile', 'ZwStartProfile', 'NtStopProfile', 'ZwStopProfile', |
5184 |
+ 'NtSystemDebugControl', 'ZwSystemDebugControl', |
5185 |
+ |
5186 |
+ 'NtEnumerateBus', 'ZwEnumerateBus', 'NtFlushInstructionCache', |
5187 |
+ 'ZwFlushInstructionCache', 'NtFlushWriteBuffer', 'ZwFlushWriteBuffer', |
5188 |
+ 'NtSetLdtEntries', 'ZwSetLdtEntries', |
5189 |
+ |
5190 |
+ 'NtGetPlugPlayEvent', 'ZwGetPlugPlayEvent', 'NtPlugPlayControl', 'ZwPlugPlayControl', |
5191 |
+ |
5192 |
+ 'NtInitiatePowerAction', 'ZwInitiatePowerAction', 'NtPowerInformation', |
5193 |
+ 'ZwPowerInformation', 'NtRequestWakeupLatency', 'ZwRequestWakeupLatency', |
5194 |
+ 'NtSetSystemPowerState', 'ZwSetSystemPowerState', 'NtSetThreadExecutionState', |
5195 |
+ 'ZwSetThreadExecutionState', |
5196 |
+ |
5197 |
+ 'NtLoadDriver', 'ZwLoadDriver', 'NtRegisterNewDevice', 'ZwRegisterNewDevice', |
5198 |
+ 'NtUnloadDriver', 'ZwUnloadDriver', |
5199 |
+ |
5200 |
+ 'NtQueryDefaultLocale', 'ZwQueryDefaultLocale', 'NtQueryDefaultUILanguage', |
5201 |
+ 'ZwQueryDefaultUILanguage', 'NtQuerySystemEnvironmentValue', |
5202 |
+ 'ZwQuerySystemEnvironmentValue', 'NtSetDefaultLocale', 'ZwSetDefaultLocale', |
5203 |
+ 'NtSetDefaultUILanguage', 'ZwSetDefaultUILanguage', 'NtSetSystemEnvironmentValue', |
5204 |
+ 'ZwSetSystemEnvironmentValue', |
5205 |
+ |
5206 |
+ 'DbgBreakPoint', 'DbgPrint', 'DbgPrompt', 'DbgSsHandleKmApiMsg', 'DbgSsInitialize', |
5207 |
+ 'DbgUiConnectToDbg', 'DbgUiContinue', 'DbgUiWaitStateChange', 'DbgUserBreakPoint', |
5208 |
+ 'KiRaiseUserExceptionDispatcher', 'KiUserApcDispatcher', 'KiUserCallbackDispatcher', |
5209 |
+ 'KiUserExceptionDispatcher', 'NlsAnsiCodePage', 'NlsMbCodePageTag', |
5210 |
+ 'NlsMbOemCodePageTag', 'NtAllocateLocallyUniqueId', 'ZwAllocateLocallyUniqueId', |
5211 |
+ 'NtAllocateUuids', 'ZwAllocateUuids', 'NtCallbackReturn', 'ZwCallbackReturn', |
5212 |
+ 'NtDisplayString', 'ZwDisplayString', 'NtQueryOleDirectoryFile', |
5213 |
+ 'ZwQueryOleDirectoryFile', 'NtQuerySection', 'ZwQuerySection', |
5214 |
+ 'NtQuerySystemInformation', 'ZwQuerySystemInformation', 'NtSetSystemInformation', |
5215 |
+ 'ZwSetSystemInformation', 'NtShutdownSystem', 'ZwShutdownSystem', 'NtVdmControl', |
5216 |
+ 'ZwVdmControl', 'NtW32Call', 'ZwW32Call', 'PfxFindPrefix', 'PfxInitialize', |
5217 |
+ 'PfxInsertPrefix', 'PfxRemovePrefix', 'PropertyLengthAsVariant', 'RestoreEm87Context', |
5218 |
+ 'SaveEm87Context' |
5219 |
+ ) |
5220 |
+ ), |
5221 |
+ 'SYMBOLS' => array( |
5222 |
+ 0 => array('(', ')', '{', '}', '[', ']'), |
5223 |
+ 1 => array('<', '>','='), |
5224 |
+ 2 => array('+', '-', '*', '/', '%'), |
5225 |
+ 3 => array('!', '^', '&', '|'), |
5226 |
+ 4 => array('?', ':', ';') |
5227 |
+ ), |
5228 |
+ 'CASE_SENSITIVE' => array( |
5229 |
+ GESHI_COMMENTS => false, |
5230 |
+ 1 => true, |
5231 |
+ 2 => true, |
5232 |
+ 3 => true, |
5233 |
+ 4 => true, |
5234 |
+ 5 => true, |
5235 |
+ 6 => true |
5236 |
+ ), |
5237 |
+ 'STYLES' => array( |
5238 |
+ 'KEYWORDS' => array( |
5239 |
+ 1 => 'color: #0000ff;', |
5240 |
+ 2 => 'color: #0000ff;', |
5241 |
+ 3 => 'color: #0000dd;', |
5242 |
+ 4 => 'color: #0000ff;', |
5243 |
+ 5 => 'color: #4000dd;', |
5244 |
+ 6 => 'color: #4000dd;' |
5245 |
+ ), |
5246 |
+ 'COMMENTS' => array( |
5247 |
+ 1 => 'color: #666666;', |
5248 |
+ 2 => 'color: #339900;', |
5249 |
+ 3 => 'color: #FF0000;', |
5250 |
+ 4 => 'color: #FF0000;', |
5251 |
+ 'MULTI' => 'color: #ff0000; font-style: italic;' |
5252 |
+ ), |
5253 |
+ 'ESCAPE_CHAR' => array( |
5254 |
+ 0 => 'color: #000099; font-weight: bold;', |
5255 |
+ 1 => 'color: #000099; font-weight: bold;', |
5256 |
+ 2 => 'color: #660099; font-weight: bold;', |
5257 |
+ 3 => 'color: #660099; font-weight: bold;', |
5258 |
+ 4 => 'color: #660099; font-weight: bold;', |
5259 |
+ 5 => 'color: #006699; font-weight: bold;', |
5260 |
+ 'HARD' => '', |
5261 |
+ ), |
5262 |
+ 'BRACKETS' => array( |
5263 |
+ 0 => 'color: #008000;' |
5264 |
+ ), |
5265 |
+ 'STRINGS' => array( |
5266 |
+ 0 => 'color: #FF0000;' |
5267 |
+ ), |
5268 |
+ 'NUMBERS' => array( |
5269 |
+ 0 => 'color: #0000dd;', |
5270 |
+ GESHI_NUMBER_BIN_PREFIX_0B => 'color: #208080;', |
5271 |
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;', |
5272 |
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;', |
5273 |
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;', |
5274 |
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;', |
5275 |
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;', |
5276 |
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;' |
5277 |
+ ), |
5278 |
+ 'METHODS' => array( |
5279 |
+ 1 => 'color: #007788;', |
5280 |
+ 2 => 'color: #007788;' |
5281 |
+ ), |
5282 |
+ 'SYMBOLS' => array( |
5283 |
+ 0 => 'color: #008000;', |
5284 |
+ 1 => 'color: #000080;', |
5285 |
+ 2 => 'color: #000040;', |
5286 |
+ 3 => 'color: #000040;', |
5287 |
+ 4 => 'color: #008080;' |
5288 |
+ ), |
5289 |
+ 'REGEXPS' => array( |
5290 |
+ ), |
5291 |
+ 'SCRIPT' => array( |
5292 |
+ ) |
5293 |
+ ), |
5294 |
+ 'URLS' => array( |
5295 |
+ 1 => '', |
5296 |
+ 2 => '', |
5297 |
+ 3 => '', |
5298 |
+ 4 => '', |
5299 |
+ 5 => 'http://www.google.com/search?q={FNAMEL}+msdn.microsoft.com', |
5300 |
+ 6 => 'http://www.google.com/search?q={FNAMEL}+msdn.microsoft.com' |
5301 |
+ ), |
5302 |
+ 'OOLANG' => true, |
5303 |
+ 'OBJECT_SPLITTERS' => array( |
5304 |
+ 1 => '.', |
5305 |
+ 2 => '::' |
5306 |
+ ), |
5307 |
+ 'REGEXPS' => array( |
5308 |
+ ), |
5309 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
5310 |
+ 'SCRIPT_DELIMITERS' => array( |
5311 |
+ ), |
5312 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
5313 |
+ ), |
5314 |
+ 'TAB_WIDTH' => 4, |
5315 |
+ 'PARSER_CONTROL' => array( |
5316 |
+ 'KEYWORDS' => array( |
5317 |
+ 'DISALLOWED_BEFORE' => "(?<![a-zA-Z0-9\$_\|\#])", |
5318 |
+ 'DISALLOWED_AFTER' => "(?![a-zA-Z0-9_\|%\\-])" |
5319 |
+ ) |
5320 |
+ ) |
5321 |
+); |
5322 |
|
5323 |
diff --git a/plugins/wp-syntax/geshi/geshi/cpp.php b/plugins/wp-syntax/geshi/geshi/cpp.php |
5324 |
index 42ab311..89b69e9 100644 |
5325 |
--- a/plugins/wp-syntax/geshi/geshi/cpp.php |
5326 |
+++ b/plugins/wp-syntax/geshi/geshi/cpp.php |
5327 |
@@ -7,13 +7,15 @@ |
5328 |
* - M. Uli Kusterer (witness.of.teachtext@×××.net) |
5329 |
* - Jack Lloyd (lloyd@×××××××××.net) |
5330 |
* Copyright: (c) 2004 Dennis Bayer, Nigel McNie (http://qbnz.com/highlighter) |
5331 |
- * Release Version: 1.0.8.11 |
5332 |
+ * Release Version: 1.0.8.12 |
5333 |
* Date Started: 2004/09/27 |
5334 |
* |
5335 |
* C++ language file for GeSHi. |
5336 |
* |
5337 |
* CHANGES |
5338 |
* ------- |
5339 |
+ * 2013/11/06 |
5340 |
+ * - Added nullptr from c++11 & others |
5341 |
* 2008/05/23 (1.0.7.22) |
5342 |
* - Added description of extra language features (SF#1970248) |
5343 |
* 2004/XX/XX (1.0.2) |
5344 |
@@ -56,14 +58,18 @@ $language_data = array ( |
5345 |
//Multiline-continued single-line comments |
5346 |
1 => '/\/\/(?:\\\\\\\\|\\\\\\n|.)*$/m', |
5347 |
//Multiline-continued preprocessor define |
5348 |
- 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m' |
5349 |
+ 2 => '/#(?:\\\\\\\\|\\\\\\n|.)*$/m', |
5350 |
+ //C++ 11 string literal extensions |
5351 |
+ 3 => '/(?:L|u8?|U)(?=")/', |
5352 |
+ //C++ 11 string literal extensions (raw) |
5353 |
+ 4 => '/R"([^()\s\\\\]*)\((?:(?!\)\\1").)*\)\\1"/ms' |
5354 |
), |
5355 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
5356 |
'QUOTEMARKS' => array("'", '"'), |
5357 |
'ESCAPE_CHAR' => '', |
5358 |
'ESCAPE_REGEXP' => array( |
5359 |
//Simple Single Char Escapes |
5360 |
- 1 => "#\\\\[abfnrtv\\\'\"?\n]#i", |
5361 |
+ 1 => "#\\\\[abfnrtv\\\'\"?\n]#", |
5362 |
//Hexadecimal Char Specs |
5363 |
2 => "#\\\\x[\da-fA-F]{2}#", |
5364 |
//Hexadecimal Char Specs |
5365 |
@@ -97,10 +103,13 @@ $language_data = array ( |
5366 |
'EXIT_FAILURE', 'EXIT_SUCCESS', 'RAND_MAX', 'CLOCKS_PER_SEC', |
5367 |
'virtual', 'public', 'private', 'protected', 'template', 'using', 'namespace', |
5368 |
'try', 'catch', 'inline', 'dynamic_cast', 'const_cast', 'reinterpret_cast', |
5369 |
- 'static_cast', 'explicit', 'friend', 'typename', 'typeid', 'class' |
5370 |
+ 'static_cast', 'explicit', 'friend', 'typename', 'typeid', 'class', 'nullptr', |
5371 |
+ 'decltype', 'override', 'final', 'noexcept', 'alignas', 'alignof', 'noreturn', |
5372 |
+ 'constexpr', 'and', 'and_eq', 'asm', 'bitand', 'bitor', 'thread_local', |
5373 |
+ 'static_assert', 'compl', 'or', 'or_eq', 'xor', 'xor_eq', 'not', 'not_eq' |
5374 |
), |
5375 |
3 => array( |
5376 |
- 'cin', 'cerr', 'clog', 'cout', 'delete', 'new', 'this', |
5377 |
+ 'cin', 'cerr', 'clog', 'cout', 'delete', 'new', 'this', 'export', |
5378 |
'printf', 'fprintf', 'snprintf', 'sprintf', 'assert', |
5379 |
'isalnum', 'isalpha', 'isdigit', 'iscntrl', 'isgraph', 'islower', 'isprint', |
5380 |
'ispunct', 'isspace', 'isupper', 'isxdigit', 'tolower', 'toupper', |
5381 |
@@ -122,11 +131,12 @@ $language_data = array ( |
5382 |
'asctime', 'ctime', 'gmtime', 'localtime', 'strftime' |
5383 |
), |
5384 |
4 => array( |
5385 |
- 'auto', 'bool', 'char', 'const', 'double', 'float', 'int', 'long', 'longint', |
5386 |
- 'register', 'short', 'shortint', 'signed', 'static', 'struct', |
5387 |
- 'typedef', 'union', 'unsigned', 'void', 'volatile', 'extern', 'jmp_buf', |
5388 |
- 'signal', 'raise', 'va_list', 'ptrdiff_t', 'size_t', 'FILE', 'fpos_t', |
5389 |
- 'div_t', 'ldiv_t', 'clock_t', 'time_t', 'tm', 'wchar_t', |
5390 |
+ 'auto', 'bool', 'char', 'char16_t', 'char32_t', 'const', 'double', 'float', |
5391 |
+ 'int', 'long', 'longint','register', 'short', 'shortint', 'signed', |
5392 |
+ 'static', 'struct', 'typedef', 'union', 'unsigned', 'void', 'volatile', |
5393 |
+ 'extern', 'jmp_buf','signal', 'raise', 'va_list', 'ptrdiff_t', 'size_t', |
5394 |
+ 'FILE', 'fpos_t', 'div_t', 'ldiv_t', 'clock_t', 'time_t', 'tm', 'wchar_t', |
5395 |
+ 'mutable', |
5396 |
|
5397 |
'int8', 'int16', 'int32', 'int64', |
5398 |
'uint8', 'uint16', 'uint32', 'uint64', |
5399 |
@@ -167,6 +177,8 @@ $language_data = array ( |
5400 |
'COMMENTS' => array( |
5401 |
1 => 'color: #666666;', |
5402 |
2 => 'color: #339900;', |
5403 |
+ 3 => 'color: #FF0000;', |
5404 |
+ 4 => 'color: #FF0000;', |
5405 |
'MULTI' => 'color: #ff0000; font-style: italic;' |
5406 |
), |
5407 |
'ESCAPE_CHAR' => array( |
5408 |
@@ -236,5 +248,3 @@ $language_data = array ( |
5409 |
) |
5410 |
) |
5411 |
); |
5412 |
- |
5413 |
-?> |
5414 |
\ No newline at end of file |
5415 |
|
5416 |
diff --git a/plugins/wp-syntax/geshi/geshi/csharp.php b/plugins/wp-syntax/geshi/geshi/csharp.php |
5417 |
index 26024e9..14f0fa0 100644 |
5418 |
--- a/plugins/wp-syntax/geshi/geshi/csharp.php |
5419 |
+++ b/plugins/wp-syntax/geshi/geshi/csharp.php |
5420 |
@@ -5,7 +5,7 @@ |
5421 |
* Author: Alan Juden (alan@×××××××××.org) |
5422 |
* Revised by: Michael Mol (mikemol@×××××.com) |
5423 |
* Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter/) |
5424 |
- * Release Version: 1.0.8.11 |
5425 |
+ * Release Version: 1.0.8.12 |
5426 |
* Date Started: 2004/06/04 |
5427 |
* |
5428 |
* C# language file for GeSHi. |
5429 |
@@ -252,5 +252,3 @@ $language_data = array ( |
5430 |
) |
5431 |
) |
5432 |
); |
5433 |
- |
5434 |
-?> |
5435 |
\ No newline at end of file |
5436 |
|
5437 |
diff --git a/plugins/wp-syntax/geshi/geshi/css.php b/plugins/wp-syntax/geshi/geshi/css.php |
5438 |
index d09bea7..7c7514d 100644 |
5439 |
--- a/plugins/wp-syntax/geshi/geshi/css.php |
5440 |
+++ b/plugins/wp-syntax/geshi/geshi/css.php |
5441 |
@@ -2,15 +2,19 @@ |
5442 |
/************************************************************************************* |
5443 |
* css.php |
5444 |
* ------- |
5445 |
- * Author: Nigel McNie (nigel@×××××.org) |
5446 |
+ * Author: Nigel McNie (nigel@×××××.org), Zéfling (zefling@×××××××.net) |
5447 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
5448 |
- * Release Version: 1.0.8.11 |
5449 |
+ * Release Version: 1.0.8.12 |
5450 |
* Date Started: 2004/06/18 |
5451 |
* |
5452 |
* CSS language file for GeSHi. |
5453 |
* |
5454 |
* CHANGES |
5455 |
* ------- |
5456 |
+ * 2014/07/14 (1.0.8.12) |
5457 |
+ * - update for current CSS3 (properties, value, expression, unites & color) |
5458 |
+ * - remove pseudo class regex |
5459 |
+ * - add rules regex |
5460 |
* 2008/05/23 (1.0.7.22) |
5461 |
* - Added description of extra language features (SF#1970248) |
5462 |
* 2004/11/27 (1.0.3) |
5463 |
@@ -25,7 +29,7 @@ |
5464 |
* |
5465 |
* TODO (updated 2004/11/27) |
5466 |
* ------------------------- |
5467 |
- * * Improve or drop regexps for class/id/psuedoclass highlighting |
5468 |
+ * * Improve or drop regexps for class/id highlighting |
5469 |
* * Re-look at keywords - possibly to make several CSS language |
5470 |
* files, all with different versions of CSS in them |
5471 |
* |
5472 |
@@ -51,7 +55,7 @@ |
5473 |
|
5474 |
$language_data = array ( |
5475 |
'LANG_NAME' => 'CSS', |
5476 |
- 'COMMENT_SINGLE' => array(1 => '@'), |
5477 |
+ 'COMMENT_SINGLE' => array(), |
5478 |
'COMMENT_MULTI' => array('/*' => '*/'), |
5479 |
'COMMENT_REGEXP' => array( |
5480 |
2 => "/(?<=\\()\\s*(?:(?:[a-z0-9]+?:\\/\\/)?[a-z0-9_\\-\\.\\/:]+?)?[a-z]+?\\.[a-z]+?(\\?[^\)]+?)?\\s*?(?=\\))/i" |
5481 |
@@ -68,79 +72,192 @@ $language_data = array ( |
5482 |
//3 => "#\\\\u[\da-fA-F]{1,8}#i", |
5483 |
), |
5484 |
'KEYWORDS' => array( |
5485 |
+ // properties |
5486 |
1 => array( |
5487 |
- 'aqua', 'azimuth', 'background-attachment', 'background-color', |
5488 |
- 'background-image', 'background-position', 'background-repeat', |
5489 |
- 'background', 'black', 'blue', 'border-bottom-color', |
5490 |
- 'border-radius', 'border-top-left-radius', 'border-top-right-radius', |
5491 |
- 'border-bottom-right-radius', 'border-bottom-left-radius', |
5492 |
- 'border-bottom-style', 'border-bottom-width', 'border-left-color', |
5493 |
- 'border-left-style', 'border-left-width', 'border-right', |
5494 |
- 'border-right-color', 'border-right-style', 'border-right-width', |
5495 |
- 'border-top-color', 'border-top-style', |
5496 |
- 'border-top-width','border-bottom', 'border-collapse', |
5497 |
- 'border-left', 'border-width', 'border-color', 'border-spacing', |
5498 |
- 'border-style', 'border-top', 'border', 'caption-side', 'clear', |
5499 |
- 'clip', 'color', 'content', 'counter-increment', 'counter-reset', |
5500 |
- 'cue-after', 'cue-before', 'cue', 'cursor', 'direction', 'display', |
5501 |
- 'elevation', 'empty-cells', 'float', 'font-family', 'font-size', |
5502 |
- 'font-size-adjust', 'font-stretch', 'font-style', 'font-variant', |
5503 |
- 'font-weight', 'font', 'line-height', 'letter-spacing', |
5504 |
- 'list-style', 'list-style-image', 'list-style-position', |
5505 |
- 'list-style-type', 'margin-bottom', 'margin-left', 'margin-right', |
5506 |
- 'margin-top', 'margin', 'marker-offset', 'marks', 'max-height', |
5507 |
- 'max-width', 'min-height', 'min-width', 'orphans', 'outline', |
5508 |
- 'outline-color', 'outline-style', 'outline-width', 'overflow', |
5509 |
- 'padding-bottom', 'padding-left', 'padding-right', 'padding-top', |
5510 |
- 'padding', 'page', 'page-break-after', 'page-break-before', |
5511 |
- 'page-break-inside', 'pause-after', 'pause-before', 'pause', |
5512 |
- 'pitch', 'pitch-range', 'play-during', 'position', 'quotes', |
5513 |
- 'richness', 'right', 'size', 'speak-header', 'speak-numeral', |
5514 |
- 'speak-punctuation', 'speak', 'speech-rate', 'stress', |
5515 |
- 'table-layout', 'text-align', 'text-decoration', 'text-indent', |
5516 |
- 'text-shadow', 'text-transform', 'top', 'unicode-bidi', |
5517 |
- 'vertical-align', 'visibility', 'voice-family', 'volume', |
5518 |
- 'white-space', 'widows', 'width', 'word-spacing', 'z-index', |
5519 |
- 'bottom', 'left', 'height' |
5520 |
+ 'align-content','align-items','align-self','all','animation', |
5521 |
+ 'animation-delay','animation-direction','animation-duration', |
5522 |
+ 'animation-fill-mode','animation-iteration-count','animation-name', |
5523 |
+ 'animation-play-state','animation-timing-function', |
5524 |
+ 'backface-visibility','background','background-attachment', |
5525 |
+ 'background-blend-mode','background-clip','background-color', |
5526 |
+ 'background-image','background-origin','background-position', |
5527 |
+ 'background-repeat','background-size','border','border-bottom', |
5528 |
+ 'border-bottom-color','border-bottom-left-radius', |
5529 |
+ 'border-bottom-right-radius','border-bottom-style', |
5530 |
+ 'border-bottom-width','border-collapse','border-color', |
5531 |
+ 'border-image','border-image-outset','border-image-repeat', |
5532 |
+ 'border-image-slice','border-image-source','border-image-width', |
5533 |
+ 'border-left','border-left-color','border-left-style', |
5534 |
+ 'border-left-width','border-radius','border-right', |
5535 |
+ 'border-right-color','border-right-style','border-right-width', |
5536 |
+ 'border-spacing','border-style','border-top','border-top-color', |
5537 |
+ 'border-top-left-radius','border-top-right-radius', |
5538 |
+ 'border-top-style','border-top-width','border-width','bottom', |
5539 |
+ 'box-decoration-break','box-shadow','box-sizing','break-after', |
5540 |
+ 'break-before','break-inside','caption-side','clear','clip', |
5541 |
+ 'clip-path','color','columns','column-count','column-fill', |
5542 |
+ 'column-gap','column-rule','column-rule-color','column-rule-style', |
5543 |
+ 'column-rule-width','column-span','column-width','content', |
5544 |
+ 'counter-increment','counter-reset','cursor','direction','display', |
5545 |
+ 'empty-cells', 'fill','fill-rule','fill-opacity', |
5546 |
+ 'filter','flex','flex-basis','flex-direction', |
5547 |
+ 'flex-flow','flex-grow','flex-shrink','flex-wrap','float','font', |
5548 |
+ 'font-family','font-feature-settings','font-kerning', |
5549 |
+ 'font-language-override','font-size','font-size-adjust', |
5550 |
+ 'font-stretch','font-style','font-synthesis','font-variant', |
5551 |
+ 'font-variant-alternates','font-variant-caps', |
5552 |
+ 'font-variant-east-asian','font-variant-ligatures', |
5553 |
+ 'font-variant-numeric','font-variant-position','font-weight','grid', |
5554 |
+ 'grid-area','grid-auto-columns','grid-auto-flow', |
5555 |
+ 'grid-auto-position','grid-auto-rows','grid-column', |
5556 |
+ 'grid-column-start','grid-column-end','grid-row','grid-row-start', |
5557 |
+ 'grid-row-end','grid-template','grid-template-areas', |
5558 |
+ 'grid-template-rows','grid-template-columns','height','hyphens', |
5559 |
+ 'icon','image-rendering','image-resolution','image-orientation', |
5560 |
+ 'ime-mode','justify-content','left','letter-spacing','line-break', |
5561 |
+ 'line-height','list-style','list-style-image','list-style-position', |
5562 |
+ 'list-style-type','margin','margin-bottom','margin-left', |
5563 |
+ 'margin-right','margin-top','marks','mask','mask-type','max-height', |
5564 |
+ 'max-width','min-height','min-width','mix-blend-mode','nav-down', |
5565 |
+ 'nav-index','nav-left','nav-right','nav-up','object-fit', |
5566 |
+ 'object-position','opacity','order','orphans','outline', |
5567 |
+ 'outline-color','outline-offset','outline-style','outline-width', |
5568 |
+ 'overflow','overflow-wrap','overflow-x','overflow-y', |
5569 |
+ 'overflow-clip-box','padding','padding-bottom','padding-left', |
5570 |
+ 'padding-right','padding-top','page-break-after','page-break-before', |
5571 |
+ 'page-break-inside','perspective','perspective-origin', |
5572 |
+ 'pointer-events','position','quotes','resize','right', |
5573 |
+ 'shape-image-threshold','shape-margin','shape-outside', |
5574 |
+ 'stroke','stroke-width','stroke-linecap','stroke-linejoin', |
5575 |
+ 'stroke-miterlimit','stroke-dasharray','stroke-dashoffset', |
5576 |
+ 'table-layout','tab-size','text-align','text-align-last', |
5577 |
+ 'text-combine-horizontal','text-decoration','text-decoration-color', |
5578 |
+ 'text-decoration-line','text-decoration-style','text-indent', |
5579 |
+ 'text-orientation','text-overflow','text-rendering','text-shadow', |
5580 |
+ 'text-transform','text-underline-position','top','touch-action', |
5581 |
+ 'transform','transform-origin','transform-style','transition', |
5582 |
+ 'transition-delay','transition-duration','transition-property', |
5583 |
+ 'transition-timing-function','unicode-bidi','unicode-range', |
5584 |
+ 'vertical-align','visibility','white-space','widows','width', |
5585 |
+ 'will-change','word-break','word-spacing','word-wrap', |
5586 |
+ 'writing-mode','z-index' |
5587 |
), |
5588 |
+ // value |
5589 |
2 => array( |
5590 |
- 'above', 'absolute', 'always', 'armenian', 'aural', 'auto', |
5591 |
- 'avoid', 'baseline', 'behind', 'below', 'bidi-override', 'blink', |
5592 |
- 'block', 'bold', 'bolder', 'both', 'capitalize', 'center-left', |
5593 |
- 'center-right', 'center', 'circle', 'cjk-ideographic', |
5594 |
- 'close-quote', 'collapse', 'condensed', 'continuous', 'crop', |
5595 |
- 'crosshair', 'cross', 'cursive', 'dashed', 'decimal-leading-zero', |
5596 |
- 'decimal', 'default', 'digits', 'disc', 'dotted', 'double', |
5597 |
- 'e-resize', 'embed', 'extra-condensed', 'extra-expanded', |
5598 |
- 'expanded', 'fantasy', 'far-left', 'far-right', 'faster', 'fast', |
5599 |
- 'fixed', 'fuchsia', 'georgian', 'gray', 'green', 'groove', |
5600 |
- 'hebrew', 'help', 'hidden', 'hide', 'higher', 'high', |
5601 |
- 'hiragana-iroha', 'hiragana', 'icon', 'inherit', 'inline-table', |
5602 |
- 'inline', 'inset', 'inside', 'invert', 'italic', 'justify', |
5603 |
- 'katakana-iroha', 'katakana', 'landscape', 'larger', 'large', |
5604 |
- 'left-side', 'leftwards', 'level', 'lighter', 'lime', |
5605 |
- 'line-through', 'list-item', 'loud', 'lower-alpha', 'lower-greek', |
5606 |
- 'lower-roman', 'lowercase', 'ltr', 'lower', 'low', 'maroon', |
5607 |
- 'medium', 'message-box', 'middle', 'mix', 'monospace', 'n-resize', |
5608 |
- 'narrower', 'navy', 'ne-resize', 'no-close-quote', |
5609 |
- 'no-open-quote', 'no-repeat', 'none', 'normal', 'nowrap', |
5610 |
- 'nw-resize', 'oblique', 'olive', 'once', 'open-quote', 'outset', |
5611 |
- 'outside', 'overline', 'pointer', 'portrait', 'purple', 'px', |
5612 |
- 'red', 'relative', 'repeat-x', 'repeat-y', 'repeat', 'rgb', |
5613 |
- 'ridge', 'right-side', 'rightwards', 's-resize', 'sans-serif', |
5614 |
- 'scroll', 'se-resize', 'semi-condensed', 'semi-expanded', |
5615 |
- 'separate', 'serif', 'show', 'silent', 'silver', 'slow', 'slower', |
5616 |
- 'small-caps', 'small-caption', 'smaller', 'soft', 'solid', |
5617 |
- 'spell-out', 'square', 'static', 'status-bar', 'super', |
5618 |
- 'sw-resize', 'table-caption', 'table-cell', 'table-column', |
5619 |
- 'table-column-group', 'table-footer-group', 'table-header-group', |
5620 |
- 'table-row', 'table-row-group', 'teal', 'text', 'text-bottom', |
5621 |
- 'text-top', 'thick', 'thin', 'transparent', 'ultra-condensed', |
5622 |
- 'ultra-expanded', 'underline', 'upper-alpha', 'upper-latin', |
5623 |
- 'upper-roman', 'uppercase', 'url', 'visible', 'w-resize', 'wait', |
5624 |
- 'white', 'wider', 'x-fast', 'x-high', 'x-large', 'x-loud', |
5625 |
- 'x-low', 'x-small', 'x-soft', 'xx-large', 'xx-small', 'yellow', |
5626 |
- 'yes' |
5627 |
+ 'absolute','activeborder','activecaption','after-white-space', |
5628 |
+ 'ahead','alternate','always','appworkspace','aqua','armenian','auto', |
5629 |
+ 'avoid','background','backwards','baseline','below','bevel', |
5630 |
+ 'bidi-override', |
5631 |
+ 'blink','block','block clear','block width','block-axis','bold', |
5632 |
+ 'bolder','border','border-box','both','bottom','break-word', |
5633 |
+ 'butt','button', |
5634 |
+ 'button-bevel','buttonface','buttonhighlight','buttonshadow', |
5635 |
+ 'buttontext','capitalize','caption','captiontext','caret','center', |
5636 |
+ 'checkbox','circle','cjk-ideographic','clip','close-quote', |
5637 |
+ 'collapse','compact','condensed','content','content-box', |
5638 |
+ 'continuous','crop','cross','crosshair', |
5639 |
+ 'currentColor','cursive','dashed','decimal', |
5640 |
+ 'decimal-leading-zero','default','disc','discard','dot-dash', |
5641 |
+ 'dot-dot-dash','dotted','double','down','e-resize','element', |
5642 |
+ 'ellipsis','embed','end','evenodd','expanded','extra-condensed', |
5643 |
+ 'extra-expanded','fantasy','fast','fixed','forwards','georgian', |
5644 |
+ 'graytext','groove','hand','hebrew','help','hidden','hide','higher', |
5645 |
+ 'highlight','highlighttext','hiragana','hiragana-iroha', |
5646 |
+ 'horizontal','icon','ignore','inactiveborder','inactivecaption', |
5647 |
+ 'inactivecaptiontext','infinite','infobackground','infotext', |
5648 |
+ 'inherit','initial','inline','inline-axis','inline-block', |
5649 |
+ 'inline-table','inset','inside','intrinsic','invert','italic', |
5650 |
+ 'justify','katakana','katakana-iroha','landscape','large','larger', |
5651 |
+ 'left','level','lighter','lime','line-through','list-item','listbox', |
5652 |
+ 'listitem','logical','loud','lower','lower-alpha','lower-greek', |
5653 |
+ 'lower-latin','lower-roman','lowercase','ltr','marker','match', |
5654 |
+ 'medium','menu','menulist','menulist-button','menulist-text', |
5655 |
+ 'menulist-textfield','menutext','message-box','middle', |
5656 |
+ 'min-intrinsic','miter','mix','monospace','move','multiple','n-resize', |
5657 |
+ 'narrower','ne-resize','no-close','no-close-quote','no-open-quote', |
5658 |
+ 'no-repeat','none','nonzero','normal','nowrap','nw-resize','oblique','once', |
5659 |
+ 'open-quote','outset','outside','overline','padding','pointer', |
5660 |
+ 'portrait','pre','pre-line','pre-wrap','push-button','radio', |
5661 |
+ 'read-only','read-write','read-write-plaintext-only','relative', |
5662 |
+ 'repeat','repeat-x','repeat-y','reverse','ridge','right','round', |
5663 |
+ 'rtl','run-in','s-resize','sans-serif','scroll','scrollbar', |
5664 |
+ 'scrollbarbutton-down','scrollbarbutton-left', |
5665 |
+ 'scrollbarbutton-right','scrollbarbutton-up', |
5666 |
+ 'scrollbargripper-horizontal','scrollbargripper-vertical', |
5667 |
+ 'scrollbarthumb-horizontal','scrollbarthumb-vertical', |
5668 |
+ 'scrollbartrack-horizontal','scrollbartrack-vertical', |
5669 |
+ 'se-resize','searchfield','searchfield-close','searchfield-results', |
5670 |
+ 'semi-condensed','semi-expanded','separate','serif','show','single', |
5671 |
+ 'skip-white-space','slide','slider-horizontal','slider-vertical', |
5672 |
+ 'sliderthumb-horizontal','sliderthumb-vertical','slow','small', |
5673 |
+ 'small-caps','small-caption','smaller','solid','space','square', |
5674 |
+ 'square-button','start','static','status-bar','stretch','sub', |
5675 |
+ 'super','sw-resize','table','table-caption','table-cell', |
5676 |
+ 'table-column','table-column-group','table-footer-group', |
5677 |
+ 'table-header-group','table-row','table-row-group','text', |
5678 |
+ 'text-bottom','text-top','textfield','thick','thin', |
5679 |
+ 'threeddarkshadow','threedface','threedhighlight', |
5680 |
+ 'threedlightshadow','threedshadow','top','ultra-condensed', |
5681 |
+ 'ultra-expanded','underline','unfurl','up','upper-alpha', |
5682 |
+ 'upper-latin','upper-roman','uppercase','vertical','visible', |
5683 |
+ 'visual','w-resize','wait','wave','wider','window','windowframe', |
5684 |
+ 'windowtext','x-large','x-small','xx-large','xx-small' |
5685 |
+ ), |
5686 |
+ // function xxx() |
5687 |
+ 3 => array( |
5688 |
+ 'attr','calc','contrast','cross-fade','cubic-bezier','cycle', |
5689 |
+ 'device-cmyk','drop-shadow','element','ellipse','hsl','hsla','hwb', |
5690 |
+ 'image','matrix','matrix3d','minmax','gray','grayscale', |
5691 |
+ 'perspective','polygon','radial-gradient','translate','translatex', |
5692 |
+ 'translatey','translatez','translate3d','skew','skewx','skewy', |
5693 |
+ 'saturate','sepia','scale','scalex','scaley','scalez','scale3d', |
5694 |
+ 'steps','rect','repeating-linear-gradient', |
5695 |
+ 'repeating-radial-gradient','repeat','rgb','rgba','rotate','rotatex', |
5696 |
+ 'rotatey','rotatez','rotate3d','url','var' |
5697 |
+ ), |
5698 |
+ // colors |
5699 |
+ 4 => array( |
5700 |
+ 'aliceblue','antiquewhite','aqua','aquamarine','azure','beige', |
5701 |
+ 'bisque','black','blanchedalmond','blue','blueviolet','brown', |
5702 |
+ 'burlywood','cadetblue','chartreuse','chocolate','coral', |
5703 |
+ 'cornflowerblue','cornsilk','crimson','cyan','darkblue','darkcyan', |
5704 |
+ 'darkgoldenrod','darkgray','darkgreen','darkgrey','darkkhaki', |
5705 |
+ 'darkmagenta','darkolivegreen','darkorange','darkorchid','darkred', |
5706 |
+ 'darksalmon','darkseagreen','darkslateblue','darkslategray', |
5707 |
+ 'darkslategrey','darkturquoise','darkviolet','deeppink', |
5708 |
+ 'deepskyblue','dimgray','dimgrey','dodgerblue','firebrick', |
5709 |
+ 'floralwhite','forestgreen','fuchsia','gainsboro','ghostwhite', |
5710 |
+ 'gold','goldenrod','gray','green','greenyellow','grey','honeydew', |
5711 |
+ 'hotpink','indianred','indigo','ivory','khaki','lavender', |
5712 |
+ 'lavenderblush','lawngreen','lemonchiffon','lightblue','lightcoral', |
5713 |
+ 'lightcyan','lightgoldenrodyellow','lightgray','lightgreen', |
5714 |
+ 'lightgrey','lightpink','lightsalmon','lightseagreen','lightskyblue', |
5715 |
+ 'lightslategray','lightslategrey','lightsteelblue','lightyellow', |
5716 |
+ 'lime','limegreen','linen','magenta','maroon','mediumaquamarine', |
5717 |
+ 'mediumblue','mediumorchid','mediumpurple','mediumseagreen', |
5718 |
+ 'mediumslateblue','mediumspringgreen','mediumturquoise', |
5719 |
+ 'mediumvioletred','midnightblue','mintcream','mistyrose','moccasin', |
5720 |
+ 'navajowhite','navy','oldlace','olive','olivedrab','orange', |
5721 |
+ 'orangered','orchid','palegoldenrod','palegreen','paleturquoise', |
5722 |
+ 'palevioletred','papayawhip','peachpuff','peru','pink','plum', |
5723 |
+ 'powderblue','purple','rebeccapurple','red','rosybrown','royalblue', |
5724 |
+ 'saddlebrown','salmon','sandybrown','seagreen','seashell','sienna', |
5725 |
+ 'silver','skyblue','slateblue','slategray','slategrey','snow', |
5726 |
+ 'springgreen','steelblue','tan','teal','thistle','transparent', |
5727 |
+ 'tomato','turquoise','violet','wheat','white','whitesmoke','yellow', |
5728 |
+ 'yellowgreen' |
5729 |
+ ), |
5730 |
+ // pseudo class |
5731 |
+ 5 => array( |
5732 |
+ 'active','after','before','checked','choices','default','dir', |
5733 |
+ 'disabled','empty','enabled','first','first-child','first-letter', |
5734 |
+ 'first-line','first-of-type','focus','fullscreen','hover', |
5735 |
+ 'indeterminate','in-range','invalid','lang','last-child', |
5736 |
+ 'last-of-type','left','link','not','nth-child','nth-last-child', |
5737 |
+ 'nth-last-of-type','nth-of-type','only-child','only-of-type', |
5738 |
+ 'optional','out-of-range','read-only','read-write','repeat-index', |
5739 |
+ 'repeat-item','required','right','root','scope','selection','target', |
5740 |
+ 'valid','value','visited' |
5741 |
) |
5742 |
), |
5743 |
'SYMBOLS' => array( |
5744 |
@@ -150,12 +267,18 @@ $language_data = array ( |
5745 |
'CASE_SENSITIVE' => array( |
5746 |
GESHI_COMMENTS => false, |
5747 |
1 => true, |
5748 |
- 2 => true |
5749 |
+ 2 => true, |
5750 |
+ 3 => true, |
5751 |
+ 4 => true, |
5752 |
+ 5 => true |
5753 |
), |
5754 |
'STYLES' => array( |
5755 |
'KEYWORDS' => array( |
5756 |
1 => 'color: #000000; font-weight: bold;', |
5757 |
- 2 => 'color: #993333;' |
5758 |
+ 2 => 'color: #993333;', |
5759 |
+ 3 => 'color: #9932cc;', |
5760 |
+ 4 => 'color: #dc143c;', |
5761 |
+ 5 => 'color: #F5758F;', |
5762 |
), |
5763 |
'COMMENTS' => array( |
5764 |
1 => 'color: #a1a100;', |
5765 |
@@ -187,13 +310,17 @@ $language_data = array ( |
5766 |
'REGEXPS' => array( |
5767 |
0 => 'color: #cc00cc;', |
5768 |
1 => 'color: #6666ff;', |
5769 |
- 2 => 'color: #3333ff;', |
5770 |
- 3 => 'color: #933;' |
5771 |
+ 2 => 'color: #3F84D9; font-weight: bold;', |
5772 |
+ 3 => 'color: #933;', |
5773 |
+ 4 => 'color: #444;' |
5774 |
) |
5775 |
), |
5776 |
'URLS' => array( |
5777 |
1 => '', |
5778 |
- 2 => '' |
5779 |
+ 2 => '', |
5780 |
+ 3 => '', |
5781 |
+ 4 => '', |
5782 |
+ 5 => '' |
5783 |
), |
5784 |
'OOLANG' => false, |
5785 |
'OBJECT_SPLITTERS' => array( |
5786 |
@@ -203,11 +330,12 @@ $language_data = array ( |
5787 |
0 => '\#[a-zA-Z0-9\-_]+(?:\\\\:[a-zA-Z0-9\-_]+)*', |
5788 |
//CSS classname |
5789 |
1 => '\.(?!\d)[a-zA-Z0-9\-_]+(?:\\\\:[a-zA-Z0-9\-_]+)*\b(?=[\{\.#\s,:].|<\|)', |
5790 |
- //CSS Pseudo classes |
5791 |
- //note: & is needed for > (i.e. > ) |
5792 |
- 2 => '(?<!\\\\):(?!\d)[a-zA-Z0-9\-]+\b(?:\s*(?=[\{\.#a-zA-Z,:+*&](.|\n)|<\|))', |
5793 |
+ //CSS rules |
5794 |
+ 2 => '\@(?!\d)[a-zA-Z0-9\-_]+(?:\\\\:[a-zA-Z0-9\-_]+)*\b(?=[\{\.#\s,:].|<\|)', |
5795 |
//Measurements |
5796 |
- 3 => '[+\-]?(\d+|(\d*\.\d+))(em|ex|pt|px|cm|in|%)', |
5797 |
+ 3 => '[+\-]?(\d+|(\d*\.\d+))(em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx|%)', |
5798 |
+ //var |
5799 |
+ 4 => '(--[a-zA-Z0-9\-]*)' |
5800 |
), |
5801 |
'STRICT_MODE_APPLIES' => GESHI_NEVER, |
5802 |
'SCRIPT_DELIMITERS' => array( |
5803 |
@@ -218,9 +346,10 @@ $language_data = array ( |
5804 |
'PARSER_CONTROL' => array( |
5805 |
'KEYWORDS' => array( |
5806 |
'DISALLOWED_AFTER' => '(?![\-a-zA-Z0-9_\|%\\-&\.])', |
5807 |
- 'DISALLOWED_BEFORE' => '(?<![\-a-zA-Z0-9_\|%\\~&\.])' |
5808 |
+ 'DISALLOWED_BEFORE' => '(?<![\-a-zA-Z0-9_\|%\\~&\.])', |
5809 |
+ 1 => array( |
5810 |
+ 'DISALLOWED_AFTER' => '(?![\-a-zA-Z0-9_\|%\\-&\.])(?=\s*:)' |
5811 |
+ ) |
5812 |
) |
5813 |
) |
5814 |
); |
5815 |
- |
5816 |
-?> |
5817 |
\ No newline at end of file |
5818 |
|
5819 |
diff --git a/plugins/wp-syntax/geshi/geshi/cuesheet.php b/plugins/wp-syntax/geshi/geshi/cuesheet.php |
5820 |
index ebaca95..675264d 100644 |
5821 |
--- a/plugins/wp-syntax/geshi/geshi/cuesheet.php |
5822 |
+++ b/plugins/wp-syntax/geshi/geshi/cuesheet.php |
5823 |
@@ -4,7 +4,7 @@ |
5824 |
* ---------- |
5825 |
* Author: Benny Baumann (benbe@×××××.org) |
5826 |
* Copyright: (c) 2009 Benny Baumann (http://qbnz.com/highlighter/) |
5827 |
- * Release Version: 1.0.8.11 |
5828 |
+ * Release Version: 1.0.8.12 |
5829 |
* Date Started: 2009/12/21 |
5830 |
* |
5831 |
* Cuesheet language file for GeSHi. |
5832 |
@@ -134,5 +134,3 @@ $language_data = array ( |
5833 |
) |
5834 |
) |
5835 |
); |
5836 |
- |
5837 |
-?> |
5838 |
\ No newline at end of file |
5839 |
|
5840 |
diff --git a/plugins/wp-syntax/geshi/geshi/d.php b/plugins/wp-syntax/geshi/geshi/d.php |
5841 |
index 7f3e985..eb68ea5 100644 |
5842 |
--- a/plugins/wp-syntax/geshi/geshi/d.php |
5843 |
+++ b/plugins/wp-syntax/geshi/geshi/d.php |
5844 |
@@ -6,7 +6,7 @@ |
5845 |
* Contributors: |
5846 |
* - Jimmy Cao |
5847 |
* Copyright: (c) 2005 Thomas Kuehne (http://thomas.kuehne.cn/) |
5848 |
- * Release Version: 1.0.8.11 |
5849 |
+ * Release Version: 1.0.8.12 |
5850 |
* Date Started: 2005/04/22 |
5851 |
* |
5852 |
* D language file for GeSHi. |
5853 |
@@ -248,5 +248,3 @@ $language_data = array ( |
5854 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
5855 |
) |
5856 |
); |
5857 |
- |
5858 |
-?> |
5859 |
\ No newline at end of file |
5860 |
|
5861 |
diff --git a/plugins/wp-syntax/geshi/geshi/dart.php b/plugins/wp-syntax/geshi/geshi/dart.php |
5862 |
new file mode 100644 |
5863 |
index 0000000..932e13e |
5864 |
--- /dev/null |
5865 |
+++ b/plugins/wp-syntax/geshi/geshi/dart.php |
5866 |
@@ -0,0 +1,159 @@ |
5867 |
+<?php |
5868 |
+/************************************************************************************* |
5869 |
+ * dart.php |
5870 |
+ * -------- |
5871 |
+ * Author: Edward Hart (edward.dan.hart@×××××.com) |
5872 |
+ * Copyright: (c) 2013 Edward Hart |
5873 |
+ * Release Version: 1.0.8.12 |
5874 |
+ * Date Started: 2013/10/25 |
5875 |
+ * |
5876 |
+ * Dart language file for GeSHi. |
5877 |
+ * |
5878 |
+ * CHANGES |
5879 |
+ * ------- |
5880 |
+ * 2013/10/25 |
5881 |
+ * - First Release |
5882 |
+ * |
5883 |
+ * TODO (updated 2013/10/25) |
5884 |
+ * ------------------------- |
5885 |
+ * - Highlight standard library types. |
5886 |
+ * |
5887 |
+ ************************************************************************************* |
5888 |
+ * |
5889 |
+ * This file is part of GeSHi. |
5890 |
+ * |
5891 |
+ * GeSHi is free software; you can redistribute it and/or modify |
5892 |
+ * it under the terms of the GNU General Public License as published by |
5893 |
+ * the Free Software Foundation; either version 2 of the License, or |
5894 |
+ * (at your option) any later version. |
5895 |
+ * |
5896 |
+ * GeSHi is distributed in the hope that it will be useful, |
5897 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
5898 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
5899 |
+ * GNU General Public License for more details. |
5900 |
+ * |
5901 |
+ * You should have received a copy of the GNU General Public License |
5902 |
+ * along with GeSHi; if not, write to the Free Software |
5903 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
5904 |
+ * |
5905 |
+ ************************************************************************************/ |
5906 |
+ |
5907 |
+$language_data = array( |
5908 |
+ 'LANG_NAME' => 'Dart', |
5909 |
+ |
5910 |
+ 'COMMENT_SINGLE' => array('//'), |
5911 |
+ 'COMMENT_MULTI' => array('/*' => '*/'), |
5912 |
+ 'COMMENT_REGEXP' => array(), |
5913 |
+ |
5914 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
5915 |
+ 'QUOTEMARKS' => array("'", '"'), |
5916 |
+ 'ESCAPE_CHAR' => '', |
5917 |
+ 'ESCAPE_REGEXP' => array( |
5918 |
+ //Simple Single Char Escapes |
5919 |
+ 1 => "#\\\\[\\\\nrfbtv\'\"?\n]#i", |
5920 |
+ //Hexadecimal Char Specs |
5921 |
+ 2 => "#\\\\x[\da-fA-F]{2}#", |
5922 |
+ //Hexadecimal Char Specs |
5923 |
+ 3 => "#\\\\u[\da-fA-F]{4}#", |
5924 |
+ 4 => "#\\\\u\\{[\da-fA-F]*\\}#" |
5925 |
+ ), |
5926 |
+ 'NUMBERS' => |
5927 |
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_INT_CSTYLE | |
5928 |
+ GESHI_NUMBER_HEX_PREFIX | GESHI_NUMBER_FLT_NONSCI | |
5929 |
+ GESHI_NUMBER_FLT_NONSCI_F | GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO, |
5930 |
+ |
5931 |
+ 'KEYWORDS' => array( |
5932 |
+ 1 => array( |
5933 |
+ 'abstract', 'as', 'assert', 'break', 'case', 'catch', 'class', |
5934 |
+ 'const', 'continue', 'default', 'do', 'dynamic', 'else', 'export', |
5935 |
+ 'extends', 'external', 'factory', 'false', 'final', 'finally', |
5936 |
+ 'for', 'get', 'if', 'implements', 'import', 'in', 'is', 'library', |
5937 |
+ 'new', 'null', 'operator', 'part', 'return', 'set', 'static', |
5938 |
+ 'super', 'switch', 'this', 'throw', 'true', 'try', 'typedef', 'var', |
5939 |
+ 'while', 'with' |
5940 |
+ ), |
5941 |
+ 2 => array( |
5942 |
+ 'double', 'bool', 'int', 'num', 'void' |
5943 |
+ ), |
5944 |
+ ), |
5945 |
+ |
5946 |
+ 'SYMBOLS' => array( |
5947 |
+ 0 => array('(', ')', '{', '}', '[', ']'), |
5948 |
+ 1 => array('+', '-', '*', '/', '%', '~'), |
5949 |
+ 2 => array('&', '|', '^'), |
5950 |
+ 3 => array('=', '!', '<', '>'), |
5951 |
+ 4 => array('?', ':'), |
5952 |
+ 5 => array('..'), |
5953 |
+ 6 => array(';', ',') |
5954 |
+ ), |
5955 |
+ |
5956 |
+ 'CASE_SENSITIVE' => array( |
5957 |
+ GESHI_COMMENTS => false, |
5958 |
+ 1 => true, |
5959 |
+ 2 => true, |
5960 |
+ ), |
5961 |
+ |
5962 |
+ 'STYLES' => array( |
5963 |
+ 'KEYWORDS' => array( |
5964 |
+ 1 => 'font-weight: bold;', |
5965 |
+ 2 => 'color: #445588; font-weight: bold;' |
5966 |
+ ), |
5967 |
+ 'COMMENTS' => array( |
5968 |
+ 0 => 'color: #999988; font-style: italic;', |
5969 |
+ 'MULTI' => 'color: #999988; font-style: italic;' |
5970 |
+ ), |
5971 |
+ 'ESCAPE_CHAR' => array( |
5972 |
+ 0 => 'color: #000099; font-weight: bold;', |
5973 |
+ 1 => 'color: #000099; font-weight: bold;', |
5974 |
+ 2 => 'color: #660099; font-weight: bold;', |
5975 |
+ 3 => 'color: #660099; font-weight: bold;', |
5976 |
+ 4 => 'color: #660099; font-weight: bold;', |
5977 |
+ 5 => 'color: #006699; font-weight: bold;', |
5978 |
+ 'HARD' => '' |
5979 |
+ ), |
5980 |
+ 'STRINGS' => array( |
5981 |
+ 0 => 'color: #d14;' |
5982 |
+ ), |
5983 |
+ 'NUMBERS' => array( |
5984 |
+ 0 => 'color: #009999;', |
5985 |
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;', |
5986 |
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;', |
5987 |
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;', |
5988 |
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;', |
5989 |
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;' |
5990 |
+ ), |
5991 |
+ 'BRACKETS' => array(''), |
5992 |
+ 'METHODS' => array( |
5993 |
+ 1 => 'color: #006633;' |
5994 |
+ ), |
5995 |
+ 'SYMBOLS' => array( |
5996 |
+ 0 => 'font-weight: bold;', |
5997 |
+ 1 => 'font-weight: bold;', |
5998 |
+ 2 => 'font-weight: bold;', |
5999 |
+ 3 => 'font-weight: bold;', |
6000 |
+ 4 => 'font-weight: bold;', |
6001 |
+ 5 => 'font-weight: bold;', |
6002 |
+ 6 => 'font-weight: bold;' |
6003 |
+ ), |
6004 |
+ 'REGEXPS' => array( |
6005 |
+ ), |
6006 |
+ 'SCRIPT' => array( |
6007 |
+ ) |
6008 |
+ ), |
6009 |
+ 'URLS' => array( |
6010 |
+ 1 => '', |
6011 |
+ 2 => '' |
6012 |
+ ), |
6013 |
+ 'OOLANG' => true, |
6014 |
+ 'OBJECT_SPLITTERS' => array( |
6015 |
+ 1 => '.' |
6016 |
+ ), |
6017 |
+ 'REGEXPS' => array( |
6018 |
+ ), |
6019 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
6020 |
+ 'SCRIPT_DELIMITERS' => array( |
6021 |
+ ), |
6022 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
6023 |
+ ), |
6024 |
+ 'TAB_WIDTH' => 4 |
6025 |
+); |
6026 |
|
6027 |
diff --git a/plugins/wp-syntax/geshi/geshi/dcl.php b/plugins/wp-syntax/geshi/geshi/dcl.php |
6028 |
index db12a4c..90de873 100644 |
6029 |
--- a/plugins/wp-syntax/geshi/geshi/dcl.php |
6030 |
+++ b/plugins/wp-syntax/geshi/geshi/dcl.php |
6031 |
@@ -4,7 +4,7 @@ |
6032 |
* -------- |
6033 |
* Author: Petr Hendl (petr@×××××.cz) |
6034 |
* Copyright: (c) 2011 Petr Hendl http://hendl.cz/geshi/ |
6035 |
- * Release Version: 1.0.8.11 |
6036 |
+ * Release Version: 1.0.8.12 |
6037 |
* Date Started: 2011/02/17 |
6038 |
* |
6039 |
* DCL language file for GeSHi. |
6040 |
@@ -188,5 +188,3 @@ $language_data = array ( |
6041 |
) |
6042 |
) |
6043 |
); |
6044 |
- |
6045 |
-?> |
6046 |
\ No newline at end of file |
6047 |
|
6048 |
diff --git a/plugins/wp-syntax/geshi/geshi/dcpu16.php b/plugins/wp-syntax/geshi/geshi/dcpu16.php |
6049 |
index 5fcb25e..4dec5a8 100644 |
6050 |
--- a/plugins/wp-syntax/geshi/geshi/dcpu16.php |
6051 |
+++ b/plugins/wp-syntax/geshi/geshi/dcpu16.php |
6052 |
@@ -3,8 +3,8 @@ |
6053 |
* dcpu16.php |
6054 |
* ------- |
6055 |
* Author: Benny Baumann (BenBE@××××××××.de) |
6056 |
- * Copyright: (c) 2007-2012 Benny Baumann (http://geshi.org/) |
6057 |
- * Release Version: 1.0.8.11 |
6058 |
+ * Copyright: (c) 2007-2014 Benny Baumann (http://geshi.org/) |
6059 |
+ * Release Version: 1.0.8.12 |
6060 |
* Date Started: 2012/04/12 |
6061 |
* |
6062 |
* DCPU/16 Assembly language file for GeSHi. |
6063 |
@@ -127,5 +127,3 @@ $language_data = array ( |
6064 |
) |
6065 |
) |
6066 |
); |
6067 |
- |
6068 |
-?> |
6069 |
\ No newline at end of file |
6070 |
|
6071 |
diff --git a/plugins/wp-syntax/geshi/geshi/dcs.php b/plugins/wp-syntax/geshi/geshi/dcs.php |
6072 |
index d32cfc5..99fa628 100644 |
6073 |
--- a/plugins/wp-syntax/geshi/geshi/dcs.php |
6074 |
+++ b/plugins/wp-syntax/geshi/geshi/dcs.php |
6075 |
@@ -4,7 +4,7 @@ |
6076 |
* --------------------------------- |
6077 |
* Author: Stelio Passaris (GeSHi@××××××.net) |
6078 |
* Copyright: (c) 2009 Stelio Passaris (http://stelio.net/stiki/GeSHi) |
6079 |
- * Release Version: 1.0.8.11 |
6080 |
+ * Release Version: 1.0.8.12 |
6081 |
* Date Started: 2009/01/20 |
6082 |
* |
6083 |
* DCS language file for GeSHi. |
6084 |
@@ -178,5 +178,3 @@ $language_data = array ( |
6085 |
), |
6086 |
'TAB_WIDTH' => 4 |
6087 |
); |
6088 |
- |
6089 |
-?> |
6090 |
\ No newline at end of file |
6091 |
|
6092 |
diff --git a/plugins/wp-syntax/geshi/geshi/delphi.php b/plugins/wp-syntax/geshi/geshi/delphi.php |
6093 |
index d5596e0..a0cd36e 100644 |
6094 |
--- a/plugins/wp-syntax/geshi/geshi/delphi.php |
6095 |
+++ b/plugins/wp-syntax/geshi/geshi/delphi.php |
6096 |
@@ -4,7 +4,7 @@ |
6097 |
* ---------- |
6098 |
* Author: J�rja Norbert (jnorbi@×××××××.hu), Benny Baumann (BenBE@××××××××.de) |
6099 |
* Copyright: (c) 2004 J�rja Norbert, Benny Baumann (BenBE@××××××××.de), Nigel McNie (http://qbnz.com/highlighter) |
6100 |
- * Release Version: 1.0.8.11 |
6101 |
+ * Release Version: 1.0.8.12 |
6102 |
* Date Started: 2004/07/26 |
6103 |
* |
6104 |
* Delphi (Object Pascal) language file for GeSHi. |
6105 |
@@ -297,5 +297,3 @@ $language_data = array ( |
6106 |
) |
6107 |
) |
6108 |
); |
6109 |
- |
6110 |
-?> |
6111 |
|
6112 |
diff --git a/plugins/wp-syntax/geshi/geshi/diff.php b/plugins/wp-syntax/geshi/geshi/diff.php |
6113 |
index 5b68171..e4bfc6f 100644 |
6114 |
--- a/plugins/wp-syntax/geshi/geshi/diff.php |
6115 |
+++ b/plugins/wp-syntax/geshi/geshi/diff.php |
6116 |
@@ -4,7 +4,7 @@ |
6117 |
* -------- |
6118 |
* Author: Conny Brunnkvist (conny@×××××××.se), W. Tasin (tasin@×××.edu) |
6119 |
* Copyright: (c) 2004 Fuchsia Open Source Solutions (http://www.fuchsia.se/) |
6120 |
- * Release Version: 1.0.8.11 |
6121 |
+ * Release Version: 1.0.8.12 |
6122 |
* Date Started: 2004/12/29 |
6123 |
* |
6124 |
* Diff-output language file for GeSHi. |
6125 |
@@ -192,5 +192,3 @@ $language_data = array ( |
6126 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
6127 |
) |
6128 |
); |
6129 |
- |
6130 |
-?> |
6131 |
\ No newline at end of file |
6132 |
|
6133 |
diff --git a/plugins/wp-syntax/geshi/geshi/div.php b/plugins/wp-syntax/geshi/geshi/div.php |
6134 |
index aa11795..8b230da 100644 |
6135 |
--- a/plugins/wp-syntax/geshi/geshi/div.php |
6136 |
+++ b/plugins/wp-syntax/geshi/geshi/div.php |
6137 |
@@ -4,7 +4,7 @@ |
6138 |
* --------------------------------- |
6139 |
* Author: Gabriel Lorenzo (ermakina@×××××.com) |
6140 |
* Copyright: (c) 2005 Gabriel Lorenzo (http://ermakina.gazpachito.net) |
6141 |
- * Release Version: 1.0.8.11 |
6142 |
+ * Release Version: 1.0.8.12 |
6143 |
* Date Started: 2005/06/19 |
6144 |
* |
6145 |
* DIV language file for GeSHi. |
6146 |
@@ -122,5 +122,3 @@ $language_data = array ( |
6147 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
6148 |
) |
6149 |
); |
6150 |
- |
6151 |
-?> |
6152 |
|
6153 |
diff --git a/plugins/wp-syntax/geshi/geshi/dos.php b/plugins/wp-syntax/geshi/geshi/dos.php |
6154 |
index 36d9983..080f300 100644 |
6155 |
--- a/plugins/wp-syntax/geshi/geshi/dos.php |
6156 |
+++ b/plugins/wp-syntax/geshi/geshi/dos.php |
6157 |
@@ -4,7 +4,7 @@ |
6158 |
* ------- |
6159 |
* Author: Alessandro Staltari (staltari@×××××××××.com) |
6160 |
* Copyright: (c) 2005 Alessandro Staltari (http://www.geocities.com/SiliconValley/Vista/8155/) |
6161 |
- * Release Version: 1.0.8.11 |
6162 |
+ * Release Version: 1.0.8.12 |
6163 |
* Date Started: 2005/07/05 |
6164 |
* |
6165 |
* DOS language file for GeSHi. |
6166 |
@@ -223,5 +223,3 @@ $language_data = array ( |
6167 |
) |
6168 |
) |
6169 |
); |
6170 |
- |
6171 |
-?> |
6172 |
\ No newline at end of file |
6173 |
|
6174 |
diff --git a/plugins/wp-syntax/geshi/geshi/dot.php b/plugins/wp-syntax/geshi/geshi/dot.php |
6175 |
index bdf240a..430ea24 100644 |
6176 |
--- a/plugins/wp-syntax/geshi/geshi/dot.php |
6177 |
+++ b/plugins/wp-syntax/geshi/geshi/dot.php |
6178 |
@@ -4,7 +4,7 @@ |
6179 |
* --------------------------------- |
6180 |
* Author: Adrien Friggeri (adrien@××××××××.net) |
6181 |
* Copyright: (c) 2007 Adrien Friggeri (http://www.friggeri.net) |
6182 |
- * Release Version: 1.0.8.11 |
6183 |
+ * Release Version: 1.0.8.12 |
6184 |
* Date Started: 2007/05/30 |
6185 |
* |
6186 |
* dot language file for GeSHi. |
6187 |
@@ -160,5 +160,3 @@ $language_data = array ( |
6188 |
3 => true |
6189 |
) |
6190 |
); |
6191 |
- |
6192 |
-?> |
6193 |
\ No newline at end of file |
6194 |
|
6195 |
diff --git a/plugins/wp-syntax/geshi/geshi/e.php b/plugins/wp-syntax/geshi/geshi/e.php |
6196 |
index 319bee0..4d4c539 100644 |
6197 |
--- a/plugins/wp-syntax/geshi/geshi/e.php |
6198 |
+++ b/plugins/wp-syntax/geshi/geshi/e.php |
6199 |
@@ -4,7 +4,7 @@ |
6200 |
* -------- |
6201 |
* Author: Kevin Reid (kpreid@×××××××.org) |
6202 |
* Copyright: (c) 2010 Kevin Reid (http://switchb.org/kpreid/) |
6203 |
- * Release Version: 1.0.8.11 |
6204 |
+ * Release Version: 1.0.8.12 |
6205 |
* Date Started: 2010/04/16 |
6206 |
* |
6207 |
* E language file for GeSHi. |
6208 |
@@ -204,5 +204,3 @@ $language_data = array( |
6209 |
'SCRIPT_DELIMITERS' => array(), |
6210 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
6211 |
); |
6212 |
- |
6213 |
-?> |
6214 |
\ No newline at end of file |
6215 |
|
6216 |
diff --git a/plugins/wp-syntax/geshi/geshi/ecmascript.php b/plugins/wp-syntax/geshi/geshi/ecmascript.php |
6217 |
index 69a55c9..7a1da92 100644 |
6218 |
--- a/plugins/wp-syntax/geshi/geshi/ecmascript.php |
6219 |
+++ b/plugins/wp-syntax/geshi/geshi/ecmascript.php |
6220 |
@@ -4,7 +4,7 @@ |
6221 |
* -------------- |
6222 |
* Author: Michel Mariani (http://www.tonton-pixel.com/site/) |
6223 |
* Copyright: (c) 2010 Michel Mariani (http://www.tonton-pixel.com/site/) |
6224 |
- * Release Version: 1.0.8.11 |
6225 |
+ * Release Version: 1.0.8.12 |
6226 |
* Date Started: 2010/01/08 |
6227 |
* |
6228 |
* ECMAScript language file for GeSHi. |
6229 |
@@ -206,5 +206,3 @@ $language_data = array ( |
6230 |
), |
6231 |
'TAB_WIDTH' => 4 |
6232 |
); |
6233 |
- |
6234 |
-?> |
6235 |
\ No newline at end of file |
6236 |
|
6237 |
diff --git a/plugins/wp-syntax/geshi/geshi/eiffel.php b/plugins/wp-syntax/geshi/geshi/eiffel.php |
6238 |
index baa13c3..5142e79 100644 |
6239 |
--- a/plugins/wp-syntax/geshi/geshi/eiffel.php |
6240 |
+++ b/plugins/wp-syntax/geshi/geshi/eiffel.php |
6241 |
@@ -4,7 +4,7 @@ |
6242 |
* ---------- |
6243 |
* Author: Zoran Simic (zsimic@××××××××××××.com) |
6244 |
* Copyright: (c) 2005 Zoran Simic |
6245 |
- * Release Version: 1.0.8.11 |
6246 |
+ * Release Version: 1.0.8.12 |
6247 |
* Date Started: 2005/06/30 |
6248 |
* |
6249 |
* Eiffel language file for GeSHi. |
6250 |
@@ -391,5 +391,3 @@ $language_data = array ( |
6251 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
6252 |
) |
6253 |
); |
6254 |
- |
6255 |
-?> |
6256 |
|
6257 |
diff --git a/plugins/wp-syntax/geshi/geshi/email.php b/plugins/wp-syntax/geshi/geshi/email.php |
6258 |
index 8a313d4..4ddc6ab 100644 |
6259 |
--- a/plugins/wp-syntax/geshi/geshi/email.php |
6260 |
+++ b/plugins/wp-syntax/geshi/geshi/email.php |
6261 |
@@ -4,7 +4,7 @@ |
6262 |
* --------------- |
6263 |
* Author: Benny Baumann (BenBE@×××××.org) |
6264 |
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/) |
6265 |
- * Release Version: 1.0.8.11 |
6266 |
+ * Release Version: 1.0.8.12 |
6267 |
* Date Started: 2008/10/19 |
6268 |
* |
6269 |
* Email (mbox \ eml \ RFC format) language file for GeSHi. |
6270 |
@@ -218,5 +218,3 @@ $language_data = array ( |
6271 |
) |
6272 |
) |
6273 |
); |
6274 |
- |
6275 |
-?> |
6276 |
\ No newline at end of file |
6277 |
|
6278 |
diff --git a/plugins/wp-syntax/geshi/geshi/epc.php b/plugins/wp-syntax/geshi/geshi/epc.php |
6279 |
index c575c0c..2db41fe 100644 |
6280 |
--- a/plugins/wp-syntax/geshi/geshi/epc.php |
6281 |
+++ b/plugins/wp-syntax/geshi/geshi/epc.php |
6282 |
@@ -4,7 +4,7 @@ |
6283 |
* -------- |
6284 |
* Author: Thorsten Muehlfelder (muehlfelder@×××××××.de) |
6285 |
* Copyright: (c) 2010 Enertex Bayern GmbH |
6286 |
- * Release Version: 1.0.8.11 |
6287 |
+ * Release Version: 1.0.8.12 |
6288 |
* Date Started: 2010/08/26 |
6289 |
* |
6290 |
* Enerscript language file for GeSHi. |
6291 |
@@ -150,5 +150,3 @@ $language_data = array ( |
6292 |
) |
6293 |
) |
6294 |
); |
6295 |
- |
6296 |
-?> |
6297 |
\ No newline at end of file |
6298 |
|
6299 |
diff --git a/plugins/wp-syntax/geshi/geshi/erlang.php b/plugins/wp-syntax/geshi/geshi/erlang.php |
6300 |
index 4b8d406..9939d1a 100644 |
6301 |
--- a/plugins/wp-syntax/geshi/geshi/erlang.php |
6302 |
+++ b/plugins/wp-syntax/geshi/geshi/erlang.php |
6303 |
@@ -7,7 +7,7 @@ |
6304 |
* - Uwe Dauernheim (uwe@××××××××××.net) |
6305 |
* - Dan Forest-Barbier (dan@×××××××.in) |
6306 |
* Copyright: (c) 2008 Uwe Dauernheim (http://www.kreisquadratur.de/) |
6307 |
- * Release Version: 1.0.8.11 |
6308 |
+ * Release Version: 1.0.8.12 |
6309 |
* Date Started: 2008-09-27 |
6310 |
* |
6311 |
* Erlang language file for GeSHi. |
6312 |
@@ -425,17 +425,15 @@ $language_data = array( |
6313 |
3 => array( |
6314 |
'DISALLOWED_BEFORE' => '(?<![\w])', |
6315 |
'DISALLOWED_AFTER' => ''//'(?=\s*\()' |
6316 |
- ), |
6317 |
+ ), |
6318 |
5 => array( |
6319 |
'DISALLOWED_BEFORE' => '(?<=\'|)', |
6320 |
'DISALLOWED_AFTER' => '(?=(\'|):)' |
6321 |
- ), |
6322 |
+ ), |
6323 |
6 => array( |
6324 |
'DISALLOWED_BEFORE' => '(?<=\/|-)', |
6325 |
'DISALLOWED_AFTER' => '' |
6326 |
+ ) |
6327 |
) |
6328 |
) |
6329 |
- ), |
6330 |
); |
6331 |
- |
6332 |
-?> |
6333 |
\ No newline at end of file |
6334 |
|
6335 |
diff --git a/plugins/wp-syntax/geshi/geshi/euphoria.php b/plugins/wp-syntax/geshi/geshi/euphoria.php |
6336 |
index 7bbf884..1989755 100644 |
6337 |
--- a/plugins/wp-syntax/geshi/geshi/euphoria.php |
6338 |
+++ b/plugins/wp-syntax/geshi/geshi/euphoria.php |
6339 |
@@ -4,7 +4,7 @@ |
6340 |
* --------------------------------- |
6341 |
* Author: Nicholas Koceja (nerketur@×××××××.com) |
6342 |
* Copyright: (c) 2010 Nicholas Koceja |
6343 |
- * Release Version: 1.0.8.11 |
6344 |
+ * Release Version: 1.0.8.12 |
6345 |
* Date Started: 11/24/2010 |
6346 |
* |
6347 |
* Euphoria language file for GeSHi. |
6348 |
@@ -136,5 +136,3 @@ $language_data = array ( |
6349 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
6350 |
) |
6351 |
); |
6352 |
- |
6353 |
-?> |
6354 |
\ No newline at end of file |
6355 |
|
6356 |
diff --git a/plugins/wp-syntax/geshi/geshi/ezt.php b/plugins/wp-syntax/geshi/geshi/ezt.php |
6357 |
new file mode 100644 |
6358 |
index 0000000..196a56b |
6359 |
--- /dev/null |
6360 |
+++ b/plugins/wp-syntax/geshi/geshi/ezt.php |
6361 |
@@ -0,0 +1,134 @@ |
6362 |
+<?php |
6363 |
+/************************************************************************************* |
6364 |
+ * ezt.php |
6365 |
+ * ----------- |
6366 |
+ * Author: Ramesh Vishveshwar (ramesh.vishveshwar@×××××.com) |
6367 |
+ * Copyright: (c) 2012 Ramesh Vishveshwar (http://thecodeisclear.in) |
6368 |
+ * Release Version: 1.0.8.12 |
6369 |
+ * Date Started: 2012/09/01 |
6370 |
+ * |
6371 |
+ * Easytrieve language file for GeSHi. |
6372 |
+ * |
6373 |
+ * CHANGES |
6374 |
+ * ------- |
6375 |
+ * 2012/09/22 (1.0.0) |
6376 |
+ * - First Release |
6377 |
+ * |
6378 |
+ ************************************************************************************* |
6379 |
+ * |
6380 |
+ * This file is part of GeSHi. |
6381 |
+ * |
6382 |
+ * GeSHi is free software; you can redistribute it and/or modify |
6383 |
+ * it under the terms of the GNU General Public License as published by |
6384 |
+ * the Free Software Foundation; either version 2 of the License, or |
6385 |
+ * (at your option) any later version. |
6386 |
+ * |
6387 |
+ * GeSHi is distributed in the hope that it will be useful, |
6388 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
6389 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
6390 |
+ * GNU General Public License for more details. |
6391 |
+ * |
6392 |
+ * You should have received a copy of the GNU General Public License |
6393 |
+ * along with GeSHi; if not, write to the Free Software |
6394 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
6395 |
+ * |
6396 |
+ ************************************************************************************/ |
6397 |
+ |
6398 |
+$language_data = array ( |
6399 |
+ 'LANG_NAME' => 'EZT', |
6400 |
+ 'COMMENT_SINGLE' => array(), |
6401 |
+ 'COMMENT_MULTI' => array(), |
6402 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER, |
6403 |
+ 'COMMENT_REGEXP' => array( |
6404 |
+ // First character of the line is an asterisk. Rest of the line is spaces/null |
6405 |
+ 0 => '/\*(\s|\D)?(\n)/', |
6406 |
+ // Asterisk followed by any character & then a non numeric character. |
6407 |
+ // This is to prevent expressions such as 25 * 4 from being marked as a comment |
6408 |
+ // Note: 25*4 - 100 will mark *4 - 100 as a comment. Pls. space out expressions |
6409 |
+ // In any case, 25*4 will result in an Easytrieve error |
6410 |
+ 1 => '/\*.([^0-9\n])+.*(\n)/' |
6411 |
+ ), |
6412 |
+ 'QUOTEMARKS' => array("'", '"'), |
6413 |
+ 'ESCAPE_CHAR' => '', |
6414 |
+ 'KEYWORDS' => array( |
6415 |
+ 1 => array( |
6416 |
+ 'CONTROL','DEFINE','DISPLAY','DO','ELSE','END-DO','END-IF', |
6417 |
+ 'END-PROC','FILE','GET','GOTO','HEADING','IF','JOB','LINE', |
6418 |
+ 'PARM','PERFORM','POINT','PRINT','PROC','PUT','READ','RECORD', |
6419 |
+ 'REPORT','RETRIEVE','SEARCH','SELECT','SEQUENCE','SORT','STOP', |
6420 |
+ 'TITLE','WRITE' |
6421 |
+ ), |
6422 |
+ // Procedure Keywords (Names of specific procedures) |
6423 |
+ 2 => array ( |
6424 |
+ 'AFTER-BREAK','AFTER-LINE','BEFORE-BREAK','BEFORE-LINE', |
6425 |
+ 'ENDPAGE','REPORT-INPUT','TERMINATION', |
6426 |
+ ), |
6427 |
+ // Macro names, Parameters |
6428 |
+ 3 => array ( |
6429 |
+ 'COMPILE','CONCAT','DESC','GETDATE','MASK','PUNCH', |
6430 |
+ 'VALUE','SYNTAX','NEWPAGE','SKIP','COL','TALLY', |
6431 |
+ 'WITH' |
6432 |
+ ) |
6433 |
+ ), |
6434 |
+ 'SYMBOLS' => array( |
6435 |
+ '(',')','=','&',',','*','>','<','%' |
6436 |
+ ), |
6437 |
+ 'CASE_SENSITIVE' => array( |
6438 |
+ GESHI_COMMENTS => false, |
6439 |
+ 1 => false, |
6440 |
+ 2 => false, |
6441 |
+ 3 => false |
6442 |
+ //4 => false, |
6443 |
+ ), |
6444 |
+ 'STYLES' => array( |
6445 |
+ 'KEYWORDS' => array( |
6446 |
+ 1 => 'color: #FF0000;', |
6447 |
+ 2 => 'color: #21A502;', |
6448 |
+ 3 => 'color: #FF00FF;' |
6449 |
+ ), |
6450 |
+ 'COMMENTS' => array( |
6451 |
+ 0 => 'color: #0000FF; font-style: italic;', |
6452 |
+ 1 => 'color: #0000FF; font-style: italic;' |
6453 |
+ ), |
6454 |
+ 'ESCAPE_CHAR' => array( |
6455 |
+ 0 => '' |
6456 |
+ ), |
6457 |
+ 'BRACKETS' => array( |
6458 |
+ 0 => 'color: #FF7400;' |
6459 |
+ ), |
6460 |
+ 'STRINGS' => array( |
6461 |
+ 0 => 'color: #66CC66;' |
6462 |
+ ), |
6463 |
+ 'NUMBERS' => array( |
6464 |
+ 0 => 'color: #736205;' |
6465 |
+ ), |
6466 |
+ 'METHODS' => array( |
6467 |
+ 1 => '', |
6468 |
+ 2 => '' |
6469 |
+ ), |
6470 |
+ 'SYMBOLS' => array( |
6471 |
+ 0 => 'color: #FF7400;' |
6472 |
+ ), |
6473 |
+ 'REGEXPS' => array( |
6474 |
+ 0 => 'color: #E01B6A;' |
6475 |
+ ), |
6476 |
+ 'SCRIPT' => array( |
6477 |
+ 0 => '' |
6478 |
+ ) |
6479 |
+ ), |
6480 |
+ 'URLS' => array( |
6481 |
+ 1 => '', |
6482 |
+ 2 => '', |
6483 |
+ 3 => '' |
6484 |
+ ), |
6485 |
+ 'OOLANG' => false, |
6486 |
+ 'OBJECT_SPLITTERS' => array(), |
6487 |
+ 'REGEXPS' => array( |
6488 |
+ // We are trying to highlight Macro names here which preceded by % |
6489 |
+ 0 => '(%)([a-zA-Z0-9])+(\s|\n)' |
6490 |
+ ), |
6491 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
6492 |
+ 'SCRIPT_DELIMITERS' => array( |
6493 |
+ ), |
6494 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array() |
6495 |
+); |
6496 |
|
6497 |
diff --git a/plugins/wp-syntax/geshi/geshi/f1.php b/plugins/wp-syntax/geshi/geshi/f1.php |
6498 |
index 7d76760..75a7cd5 100644 |
6499 |
--- a/plugins/wp-syntax/geshi/geshi/f1.php |
6500 |
+++ b/plugins/wp-syntax/geshi/geshi/f1.php |
6501 |
@@ -4,7 +4,7 @@ |
6502 |
* ------- |
6503 |
* Author: Juro Bystricky (juro@××××××××××.com) |
6504 |
* Copyright: K2 Software Corp. |
6505 |
- * Release Version: 1.0.8.11 |
6506 |
+ * Release Version: 1.0.8.12 |
6507 |
* Date Started: 2010/07/06 |
6508 |
* |
6509 |
* Formula One language file for GeSHi. |
6510 |
@@ -147,5 +147,3 @@ $language_data = array( |
6511 |
), |
6512 |
'TAB_WIDTH' => 4 |
6513 |
); |
6514 |
- |
6515 |
-?> |
6516 |
\ No newline at end of file |
6517 |
|
6518 |
diff --git a/plugins/wp-syntax/geshi/geshi/falcon.php b/plugins/wp-syntax/geshi/geshi/falcon.php |
6519 |
index 2111d9e..aeb4133 100644 |
6520 |
--- a/plugins/wp-syntax/geshi/geshi/falcon.php |
6521 |
+++ b/plugins/wp-syntax/geshi/geshi/falcon.php |
6522 |
@@ -4,7 +4,7 @@ |
6523 |
* --------------------------------- |
6524 |
* Author: billykater (billykater+geshi@×××××.com) |
6525 |
* Copyright: (c) 2010 billykater (http://falconpl.org/) |
6526 |
- * Release Version: 1.0.8.11 |
6527 |
+ * Release Version: 1.0.8.12 |
6528 |
* Date Started: 2010/06/07 |
6529 |
* |
6530 |
* Falcon language file for GeSHi. |
6531 |
@@ -215,4 +215,3 @@ $language_data = array ( |
6532 |
'.' |
6533 |
) |
6534 |
); |
6535 |
-?> |
6536 |
\ No newline at end of file |
6537 |
|
6538 |
diff --git a/plugins/wp-syntax/geshi/geshi/fo.php b/plugins/wp-syntax/geshi/geshi/fo.php |
6539 |
index ba4a592..661f5bb 100644 |
6540 |
--- a/plugins/wp-syntax/geshi/geshi/fo.php |
6541 |
+++ b/plugins/wp-syntax/geshi/geshi/fo.php |
6542 |
@@ -4,7 +4,7 @@ |
6543 |
* -------- |
6544 |
* Author: Tan-Vinh Nguyen (tvnguyen@×××.de) |
6545 |
* Copyright: (c) 2009 Tan-Vinh Nguyen |
6546 |
- * Release Version: 1.0.8.11 |
6547 |
+ * Release Version: 1.0.8.12 |
6548 |
* Date Started: 2009/03/23 |
6549 |
* |
6550 |
* fo language file for GeSHi. |
6551 |
@@ -324,4 +324,3 @@ $language_data = array ( |
6552 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
6553 |
) |
6554 |
); |
6555 |
-?> |
6556 |
\ No newline at end of file |
6557 |
|
6558 |
diff --git a/plugins/wp-syntax/geshi/geshi/fortran.php b/plugins/wp-syntax/geshi/geshi/fortran.php |
6559 |
index c21ccd1..c3bd402 100644 |
6560 |
--- a/plugins/wp-syntax/geshi/geshi/fortran.php |
6561 |
+++ b/plugins/wp-syntax/geshi/geshi/fortran.php |
6562 |
@@ -4,7 +4,7 @@ |
6563 |
* ----------- |
6564 |
* Author: Cedric Arrabie (cedric.arrabie@××××××××.fr) |
6565 |
* Copyright: (C) 2006 Cetric Arrabie |
6566 |
- * Release Version: 1.0.8.11 |
6567 |
+ * Release Version: 1.0.8.12 |
6568 |
* Date Started: 2006/04/22 |
6569 |
* |
6570 |
* Fortran language file for GeSHi. |
6571 |
@@ -156,5 +156,3 @@ $language_data = array ( |
6572 |
'HIGHLIGHT_STRICT_BLOCK'=> array( |
6573 |
) |
6574 |
); |
6575 |
- |
6576 |
-?> |
6577 |
|
6578 |
diff --git a/plugins/wp-syntax/geshi/geshi/freebasic.php b/plugins/wp-syntax/geshi/geshi/freebasic.php |
6579 |
index b23f39b..842a805 100644 |
6580 |
--- a/plugins/wp-syntax/geshi/geshi/freebasic.php |
6581 |
+++ b/plugins/wp-syntax/geshi/geshi/freebasic.php |
6582 |
@@ -4,7 +4,7 @@ |
6583 |
* ------------- |
6584 |
* Author: Roberto Rossi |
6585 |
* Copyright: (c) 2005 Roberto Rossi (http://rsoftware.altervista.org) |
6586 |
- * Release Version: 1.0.8.11 |
6587 |
+ * Release Version: 1.0.8.12 |
6588 |
* Date Started: 2005/08/19 |
6589 |
* |
6590 |
* FreeBasic (http://www.freebasic.net/) language file for GeSHi. |
6591 |
@@ -137,5 +137,3 @@ $language_data = array ( |
6592 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
6593 |
) |
6594 |
); |
6595 |
- |
6596 |
-?> |
6597 |
|
6598 |
diff --git a/plugins/wp-syntax/geshi/geshi/freeswitch.php b/plugins/wp-syntax/geshi/geshi/freeswitch.php |
6599 |
index c6fff27..efecedd 100644 |
6600 |
--- a/plugins/wp-syntax/geshi/geshi/freeswitch.php |
6601 |
+++ b/plugins/wp-syntax/geshi/geshi/freeswitch.php |
6602 |
@@ -4,7 +4,7 @@ |
6603 |
* -------- |
6604 |
* Author: James Rose (james.gs@×××××××××××××.com) |
6605 |
* Copyright: (c) 2006 Christian Lescuyer http://xtian.goelette.info |
6606 |
- * Release Version: 1.0.8.11n/a |
6607 |
+ * Release Version: 1.0.8.12 |
6608 |
* Date Started: 2011/11/18 |
6609 |
* |
6610 |
* FreeSWITCH language file for GeSHi. |
6611 |
@@ -164,5 +164,3 @@ $language_data = array ( |
6612 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
6613 |
) |
6614 |
); |
6615 |
- |
6616 |
-?> |
6617 |
|
6618 |
diff --git a/plugins/wp-syntax/geshi/geshi/fsharp.php b/plugins/wp-syntax/geshi/geshi/fsharp.php |
6619 |
index d85a7c7..33aa122 100644 |
6620 |
--- a/plugins/wp-syntax/geshi/geshi/fsharp.php |
6621 |
+++ b/plugins/wp-syntax/geshi/geshi/fsharp.php |
6622 |
@@ -4,7 +4,7 @@ |
6623 |
* ---------- |
6624 |
* Author: julien ortin (jo_spam-divers@×××××.fr) |
6625 |
* Copyright: (c) 2009 julien ortin |
6626 |
- * Release Version: 1.0.8.11 |
6627 |
+ * Release Version: 1.0.8.12 |
6628 |
* Date Started: 2009/09/20 |
6629 |
* |
6630 |
* F# language file for GeSHi. |
6631 |
@@ -209,5 +209,3 @@ $language_data = array( |
6632 |
) |
6633 |
) |
6634 |
); |
6635 |
- |
6636 |
-?> |
6637 |
\ No newline at end of file |
6638 |
|
6639 |
diff --git a/plugins/wp-syntax/geshi/geshi/gambas.php b/plugins/wp-syntax/geshi/geshi/gambas.php |
6640 |
index 352830e..4bb582e 100644 |
6641 |
--- a/plugins/wp-syntax/geshi/geshi/gambas.php |
6642 |
+++ b/plugins/wp-syntax/geshi/geshi/gambas.php |
6643 |
@@ -5,7 +5,7 @@ |
6644 |
* Author: Jesus Guardon (jguardon@××××××××××.net) |
6645 |
* Copyright: (c) 2009 Jesus Guardon (http://gambas-es.org), |
6646 |
* Benny Baumann (http://qbnz.com/highlighter) |
6647 |
- * Release Version: 1.0.8.11 |
6648 |
+ * Release Version: 1.0.8.12 |
6649 |
* Date Started: 2004/08/20 |
6650 |
* |
6651 |
* GAMBAS language file for GeSHi. |
6652 |
@@ -210,5 +210,3 @@ $language_data = array ( |
6653 |
) |
6654 |
) |
6655 |
); |
6656 |
- |
6657 |
-?> |
6658 |
\ No newline at end of file |
6659 |
|
6660 |
diff --git a/plugins/wp-syntax/geshi/geshi/gdb.php b/plugins/wp-syntax/geshi/geshi/gdb.php |
6661 |
index 0a5e32c..16cc9fb 100644 |
6662 |
--- a/plugins/wp-syntax/geshi/geshi/gdb.php |
6663 |
+++ b/plugins/wp-syntax/geshi/geshi/gdb.php |
6664 |
@@ -4,7 +4,7 @@ |
6665 |
* -------- |
6666 |
* Author: Milian Wolff (mail@×××××××.de) |
6667 |
* Copyright: (c) 2009 Milian Wolff |
6668 |
- * Release Version: 1.0.8.11 |
6669 |
+ * Release Version: 1.0.8.12 |
6670 |
* Date Started: 2009/06/24 |
6671 |
* |
6672 |
* GDB language file for GeSHi. |
6673 |
@@ -189,10 +189,6 @@ $language_data = array ( |
6674 |
'PARSER_CONTROL' => array( |
6675 |
'ENABLE_FLAGS' => array( |
6676 |
'NUMBERS' => false |
6677 |
- ), |
6678 |
+ ) |
6679 |
) |
6680 |
); |
6681 |
- |
6682 |
-// kate: replace-tabs on; indent-width 4; |
6683 |
- |
6684 |
-?> |
6685 |
|
6686 |
diff --git a/plugins/wp-syntax/geshi/geshi/genero.php b/plugins/wp-syntax/geshi/geshi/genero.php |
6687 |
index e1b20b3..faac5e8 100644 |
6688 |
--- a/plugins/wp-syntax/geshi/geshi/genero.php |
6689 |
+++ b/plugins/wp-syntax/geshi/geshi/genero.php |
6690 |
@@ -4,7 +4,7 @@ |
6691 |
* ---------- |
6692 |
* Author: Lars Gersmann (lars.gersmann@×××××.com) |
6693 |
* Copyright: (c) 2007 Lars Gersmann, Nigel McNie (http://qbnz.com/highlighter/) |
6694 |
- * Release Version: 1.0.8.11 |
6695 |
+ * Release Version: 1.0.8.12 |
6696 |
* Date Started: 2007/07/01 |
6697 |
* |
6698 |
* Genero (FOURJ's Genero 4GL) language file for GeSHi. |
6699 |
@@ -459,5 +459,3 @@ $language_data = array ( |
6700 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
6701 |
) |
6702 |
); |
6703 |
- |
6704 |
-?> |
6705 |
|
6706 |
diff --git a/plugins/wp-syntax/geshi/geshi/genie.php b/plugins/wp-syntax/geshi/geshi/genie.php |
6707 |
index db05ec0..3849562 100644 |
6708 |
--- a/plugins/wp-syntax/geshi/geshi/genie.php |
6709 |
+++ b/plugins/wp-syntax/geshi/geshi/genie.php |
6710 |
@@ -4,7 +4,7 @@ |
6711 |
* ---------- |
6712 |
* Author: Nicolas Joseph (nicolas.joseph@×××××××.org) |
6713 |
* Copyright: (c) 2009 Nicolas Joseph |
6714 |
- * Release Version: 1.0.8.11 |
6715 |
+ * Release Version: 1.0.8.12 |
6716 |
* Date Started: 2009/04/29 |
6717 |
* |
6718 |
* Genie language file for GeSHi. |
6719 |
@@ -150,8 +150,6 @@ $language_data = array ( |
6720 |
'KEYWORDS' => array( |
6721 |
'DISALLOWED_BEFORE' => "(?<![a-zA-Z0-9\$_\|\#>|^])", |
6722 |
'DISALLOWED_AFTER' => "(?![a-zA-Z0-9_<\|%\\-])" |
6723 |
+ ) |
6724 |
) |
6725 |
- ) |
6726 |
); |
6727 |
- |
6728 |
-?> |
6729 |
|
6730 |
diff --git a/plugins/wp-syntax/geshi/geshi/gettext.php b/plugins/wp-syntax/geshi/geshi/gettext.php |
6731 |
index 80b531c..ef4dd96 100644 |
6732 |
--- a/plugins/wp-syntax/geshi/geshi/gettext.php |
6733 |
+++ b/plugins/wp-syntax/geshi/geshi/gettext.php |
6734 |
@@ -4,7 +4,7 @@ |
6735 |
* -------- |
6736 |
* Author: Milian Wolff (mail@×××××××.de) |
6737 |
* Copyright: (c) 2008 Milian Wolff |
6738 |
- * Release Version: 1.0.8.11 |
6739 |
+ * Release Version: 1.0.8.12 |
6740 |
* Date Started: 2008/05/25 |
6741 |
* |
6742 |
* GNU Gettext .po/.pot language file for GeSHi. |
6743 |
@@ -91,7 +91,5 @@ $language_data = array ( |
6744 |
), |
6745 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
6746 |
), |
6747 |
- 'TAB_WIDTH' => 4, |
6748 |
+ 'TAB_WIDTH' => 4 |
6749 |
); |
6750 |
- |
6751 |
-?> |
6752 |
|
6753 |
diff --git a/plugins/wp-syntax/geshi/geshi/glsl.php b/plugins/wp-syntax/geshi/geshi/glsl.php |
6754 |
index 3615cfe..1eb3918 100644 |
6755 |
--- a/plugins/wp-syntax/geshi/geshi/glsl.php |
6756 |
+++ b/plugins/wp-syntax/geshi/geshi/glsl.php |
6757 |
@@ -4,7 +4,7 @@ |
6758 |
* ----- |
6759 |
* Author: Benny Baumann (BenBE@××××××××.de) |
6760 |
* Copyright: (c) 2008 Benny Baumann (BenBE@××××××××.de) |
6761 |
- * Release Version: 1.0.8.11 |
6762 |
+ * Release Version: 1.0.8.12 |
6763 |
* Date Started: 2008/03/20 |
6764 |
* |
6765 |
* glSlang language file for GeSHi. |
6766 |
@@ -201,5 +201,3 @@ $language_data = array ( |
6767 |
) |
6768 |
) |
6769 |
); |
6770 |
- |
6771 |
-?> |
6772 |
\ No newline at end of file |
6773 |
|
6774 |
diff --git a/plugins/wp-syntax/geshi/geshi/gml.php b/plugins/wp-syntax/geshi/geshi/gml.php |
6775 |
index 999251b..26c913b 100644 |
6776 |
--- a/plugins/wp-syntax/geshi/geshi/gml.php |
6777 |
+++ b/plugins/wp-syntax/geshi/geshi/gml.php |
6778 |
@@ -1,10 +1,10 @@ |
6779 |
<?php |
6780 |
/************************************************************************************* |
6781 |
* gml.php |
6782 |
- * -------- |
6783 |
- * Author: Jos� Jorge Enr�quez (jenriquez@×××××××××××××××××.net) |
6784 |
- * Copyright: (c) 2005 Jos� Jorge Enr�quez Rodr�guez (http://www.zonamakers.com) |
6785 |
- * Release Version: 1.0.8.11 |
6786 |
+ * ------- |
6787 |
+ * Author: José Jorge EnrÃÂquez <jenriquez@×××××××××××××××××.net>, Timon Knigge <timonknigge@××××.nl> |
6788 |
+ * Copyright: (c) 2005 José Jorge EnrÃÂquez RodrÃÂguez (http://www.zonamakers.com), (c) 2013 Timon Knigge (http://www.bluemoonproductions.nl) |
6789 |
+ * Release Version: 1.0.8.12 |
6790 |
* Date Started: 2005/06/21 |
6791 |
* |
6792 |
* GML language file for GeSHi. |
6793 |
@@ -61,388 +61,256 @@ |
6794 |
* |
6795 |
************************************************************************************/ |
6796 |
|
6797 |
-$language_data = array ( |
6798 |
+$language_data = array( |
6799 |
'LANG_NAME' => 'GML', |
6800 |
'COMMENT_SINGLE' => array(1 => '//'), |
6801 |
'COMMENT_MULTI' => array('/*' => '*/'), |
6802 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
6803 |
- 'QUOTEMARKS' => array("'"), |
6804 |
- 'ESCAPE_CHAR' => '\\', |
6805 |
+ 'QUOTEMARKS' => array('"', "'"), |
6806 |
+ 'ESCAPE_CHAR' => '', |
6807 |
'KEYWORDS' => array( |
6808 |
- // language keywords |
6809 |
+ // Variables |
6810 |
1 => array( |
6811 |
- 'break', 'continue', 'do', 'until', 'if', 'else', |
6812 |
- 'exit', 'for', 'repeat', 'return', 'switch', |
6813 |
- 'case', 'default', 'var', 'while', 'with', 'div', 'mod', |
6814 |
- // GML Language overview |
6815 |
- 'self', 'other', 'all', 'noone', 'global', |
6816 |
+ 'argument','argument0','argument1','argument10','argument11','argument12','argument13','argument14','argument15','argument2','argument3','argument4','argument5','argument6','argument7', |
6817 |
+ 'argument8','argument9','argument_count','argument_relative','async_load','background_alpha','background_blend','background_color','background_foreground','background_height','background_hspeed', |
6818 |
+ 'background_htiled','background_index','background_showcolor','background_visible','background_vspeed','background_vtiled','background_width','background_x','background_xscale','background_y', |
6819 |
+ 'background_yscale','browser_height','browser_width','caption_health','caption_lives','caption_score','current_day','current_hour','current_minute','current_month','current_second','current_time', |
6820 |
+ 'current_weekday','current_year','cursor_sprite','debug_mode','delta_time','display_aa','error_last','error_occurred','event_action','event_number','event_object','event_type','fps','fps_real', |
6821 |
+ 'game_id','gamemaker_pro','gamemaker_registered','gamemaker_version','health','instance_count','instance_id','keyboard_key','keyboard_lastchar','keyboard_lastkey','keyboard_string','lives', |
6822 |
+ 'mouse_button','mouse_lastbutton','mouse_x','mouse_y','os_browser','os_device','os_type','os_version','program_directory','room','room_caption','room_first','room_height','room_last', |
6823 |
+ 'room_persistent','room_speed','room_width','score','secure_mode','show_health','show_lives','show_score','temp_directory','transition_color','transition_kind','transition_steps','view_angle', |
6824 |
+ 'view_current','view_enabled','view_hborder','view_hport','view_hspeed','view_hview','view_object','view_surface_id','view_vborder','view_visible','view_vspeed','view_wport','view_wview','view_xport', |
6825 |
+ 'view_xview','view_yport','view_yview','webgl_enabled','working_directory','alarm','bbox_bottom','bbox_left','bbox_right','bbox_top','depth','direction','friction','gravity','gravity_direction', |
6826 |
+ 'hspeed','id','image_alpha','image_angle','image_blend','image_index','image_number','image_single','image_speed','image_xscale','image_yscale','mask_index','object_index','path_endaction','path_index', |
6827 |
+ 'path_orientation','path_position','path_positionprevious','path_scale','path_speed','persistent','phy_active','phy_angular_damping','phy_angular_velocity','phy_bullet','phy_col_normal_x', |
6828 |
+ 'phy_col_normal_y','phy_collision_points','phy_collision_x','phy_collision_y','phy_com_x','phy_com_y','phy_dynamic','phy_fixed_rotation','phy_inertia','phy_kinematic','phy_linear_damping', |
6829 |
+ 'phy_linear_velocity_x','phy_linear_velocity_y','phy_mass','phy_position_x','phy_position_xprevious','phy_position_y','phy_position_yprevious','phy_rotation','phy_sleeping','phy_speed','phy_speed_x', |
6830 |
+ 'phy_speed_y','solid','speed','sprite_height','sprite_index','sprite_width','sprite_xoffset','sprite_yoffset','timeline_index','timeline_loop','timeline_position','timeline_running','timeline_speed', |
6831 |
+ 'visible','vspeed','x','xprevious','xstart','y','yprevious','ystart' |
6832 |
), |
6833 |
- // modifiers and built-in variables |
6834 |
+ |
6835 |
+ // Functions |
6836 |
2 => array( |
6837 |
- // Game play |
6838 |
- 'x','y','xprevious','yprevious','xstart','ystart','hspeed','vspeed','direction','speed', |
6839 |
- 'friction','gravity','gravity_direction', |
6840 |
- 'path_index','path_position','path_positionprevious','path_speed','path_orientation', |
6841 |
- 'path_endaction', |
6842 |
- 'object_index','id','mask_index','solid','persistent','instance_count','instance_id', |
6843 |
- 'room_speed','fps','current_time','current_year','current_month','current_day','current_weekday', |
6844 |
- 'current_hour','current_minute','current_second','alarm','timeline_index','timeline_position', |
6845 |
- 'timeline_speed', |
6846 |
- 'room','room_first','room_last','room_width','room_height','room_caption','room_persistent', |
6847 |
- 'score','lives','health','show_score','show_lives','show_health','caption_score','caption_lives', |
6848 |
- 'caption_health', |
6849 |
- 'event_type','event_number','event_object','event_action', |
6850 |
- 'error_occurred','error_last', |
6851 |
- // User interaction |
6852 |
- 'keyboard_lastkey','keyboard_key','keyboard_lastchar','keyboard_string', |
6853 |
- 'mouse_x','mouse_y','mouse_button','mouse_lastbutton', |
6854 |
- // Game Graphics |
6855 |
- 'sprite_index','sprite_width','sprite_height','sprite_xoffset','sprite_yoffset', |
6856 |
- 'image_number','image_index','image_speed','image_xscale','image_yscale','image_angle', |
6857 |
- 'image_alpha','image_blend','bbox_left','bbox_right','bbox_top','bbox_bottom', |
6858 |
- 'background_color','background_showcolor','background_visible','background_foreground', |
6859 |
- 'background_index','background_x','background_y','background_width','background_height', |
6860 |
- 'background_htiled','background_vtiled','background_xscale','background_yscale', |
6861 |
- 'background_hspeed','background_vspeed','background_blend','background_alpha', |
6862 |
- 'background','left, top, width, height','depth','visible','xscale','yscale','blend','alpha', |
6863 |
- 'view_enabled','view_current','view_visible','view_yview','view_wview','view_hview','view_xport', |
6864 |
- 'view_yport','view_wport','view_hport','view_angle','view_hborder','view_vborder','view_hspeed', |
6865 |
- 'view_vspeed','view_object', |
6866 |
- 'transition_kind', |
6867 |
- // Files, registry and executing programs |
6868 |
- 'game_id','working_directory','temp_directory', |
6869 |
- 'secure_mode', |
6870 |
- // Creating particles |
6871 |
- 'xmin', 'xmax', 'ymin', 'ymax','shape','distribution','particle type','number', |
6872 |
- 'force','dist','kind','additive', 'parttype1', 'parttype2' |
6873 |
+ 'YoYo_AchievementsAvailable','YoYo_AddVirtualKey','YoYo_CheckSecurity','YoYo_DeleteVirtualKey','YoYo_DisableAds','YoYo_EnableAds','YoYo_EnableAlphaBlend','YoYo_GetCPUDetails','YoYo_GetConfig', |
6874 |
+ 'YoYo_GetDevice','YoYo_GetDomain','YoYo_GetPictureSprite','YoYo_GetPlatform','YoYo_GetSessionKey','YoYo_GetTiltX','YoYo_GetTiltY','YoYo_GetTiltZ','YoYo_GetTimer','YoYo_HideVirtualKey','YoYo_IsKeypadOpen', |
6875 |
+ 'YoYo_LeaveRating','YoYo_LoginAchievements','YoYo_LogoutAchievements','YoYo_MouseCheckButton','YoYo_MouseCheckButtonPressed','YoYo_MouseCheckButtonReleased','YoYo_MouseX','YoYo_MouseXRaw','YoYo_MouseY', |
6876 |
+ 'YoYo_MouseYRaw','YoYo_OSPauseEvent','YoYo_OpenURL','YoYo_OpenURL_ext','YoYo_OpenURL_full','YoYo_PostAchievement','YoYo_PostScore','YoYo_SelectPicture','YoYo_ShowVirtualKey','abs','achievement_available', |
6877 |
+ 'achievement_event','achievement_get_challenges','achievement_get_info','achievement_get_pic','achievement_increment','achievement_load_friends','achievement_load_leaderboard','achievement_load_progress', |
6878 |
+ 'achievement_login','achievement_login_status','achievement_logout','achievement_post','achievement_post_score','achievement_reset','achievement_send_challenge','achievement_show', |
6879 |
+ 'achievement_show_achievements','achievement_show_challenge_notifications','achievement_show_leaderboards','action_another_room','action_bounce','action_change_object','action_color','action_create_object', |
6880 |
+ 'action_create_object_motion','action_create_object_random','action_current_room','action_draw_arrow','action_draw_background','action_draw_ellipse','action_draw_ellipse_gradient','action_draw_gradient_hor', |
6881 |
+ 'action_draw_gradient_vert','action_draw_health','action_draw_life','action_draw_life_images','action_draw_line','action_draw_rectangle','action_draw_score','action_draw_sprite','action_draw_text', |
6882 |
+ 'action_draw_text_transformed','action_draw_variable','action_effect','action_end_game','action_end_sound','action_execute_script','action_font','action_fullscreen','action_highscore_clear','action_if', |
6883 |
+ 'action_if_aligned','action_if_collision','action_if_dice','action_if_empty','action_if_health','action_if_life','action_if_mouse','action_if_next_room','action_if_number','action_if_object', |
6884 |
+ 'action_if_previous_room','action_if_question','action_if_score','action_if_sound','action_if_variable','action_inherited','action_kill_object','action_kill_position','action_linear_step','action_load_game', |
6885 |
+ 'action_message','action_move','action_move_contact','action_move_point','action_move_random','action_move_start','action_move_to','action_next_room','action_partemit_burst','action_partemit_create', |
6886 |
+ 'action_partemit_destroy','action_partemit_stream','action_partsyst_clear','action_partsyst_create','action_partsyst_destroy','action_parttype_color','action_parttype_create','action_parttype_gravity', |
6887 |
+ 'action_parttype_life','action_parttype_secondary','action_parttype_speed','action_path','action_path_end','action_path_position','action_path_speed','action_potential_step','action_previous_room', |
6888 |
+ 'action_replace_background','action_replace_sound','action_replace_sprite','action_restart_game','action_reverse_xdir','action_reverse_ydir','action_save_game','action_set_alarm','action_set_cursor', |
6889 |
+ 'action_set_friction','action_set_gravity','action_set_health','action_set_hspeed','action_set_life','action_set_motion','action_set_score','action_set_timeline_position','action_set_timeline_speed', |
6890 |
+ 'action_set_vspeed','action_snap','action_snapshot','action_sound','action_sprite_color','action_sprite_set','action_sprite_transform','action_timeline_pause','action_timeline_set','action_timeline_start', |
6891 |
+ 'action_timeline_stop','action_webpage','action_wrap','ads_disable','ads_enable','ads_engagement_active','ads_engagement_available','ads_engagement_launch','ads_event','ads_event_preload', |
6892 |
+ 'ads_get_display_height','ads_get_display_width','ads_interstitial_available','ads_interstitial_display','ads_move','ads_set_reward_callback','ads_setup','analytics_event','analytics_event_ext','ansi_char', |
6893 |
+ 'arccos','arcsin','arctan','arctan2','array_height_2d','array_length_1d','array_length_2d','asset_get_index','asset_get_type','audio_channel_num','audio_emitter_create','audio_emitter_exists', |
6894 |
+ 'audio_emitter_falloff','audio_emitter_free','audio_emitter_gain','audio_emitter_pitch','audio_emitter_position','audio_emitter_velocity','audio_exists','audio_falloff_set_model','audio_get_type', |
6895 |
+ 'audio_is_paused','audio_is_playing','audio_listener_orientation','audio_listener_position','audio_listener_velocity','audio_master_gain','audio_music_gain','audio_music_is_playing','audio_pause_all', |
6896 |
+ 'audio_pause_music','audio_pause_sound','audio_play_music','audio_play_sound','audio_play_sound_at','audio_play_sound_on','audio_resume_all','audio_resume_music','audio_resume_sound','audio_sound_gain', |
6897 |
+ 'audio_sound_length','audio_sound_pitch','audio_stop_all','audio_stop_music','audio_stop_sound','audio_system','background_add','background_assign','background_create_color','background_create_from_surface', |
6898 |
+ 'background_create_gradient','background_delete','background_duplicate','background_exists','background_get_height','background_get_name','background_get_texture','background_get_uvs','background_get_width', |
6899 |
+ 'background_replace','background_save','background_set_alpha_from_background','base64_decode','base64_encode','buffer_base64_decode','buffer_base64_decode_ext','buffer_base64_encode','buffer_copy', |
6900 |
+ 'buffer_create','buffer_delete','buffer_fill','buffer_get_size','buffer_get_surface','buffer_load','buffer_load_ext','buffer_md5','buffer_peek','buffer_poke','buffer_read','buffer_resize','buffer_save', |
6901 |
+ 'buffer_save_ext','buffer_seek','buffer_set_surface','buffer_sha1','buffer_sizeof','buffer_tell','buffer_write','ceil','choose','chr','clamp','clickable_add','clickable_add_ext','clickable_change', |
6902 |
+ 'clickable_change_ext','clickable_delete','clickable_exists','clipboard_get_text','clipboard_has_text','clipboard_set_text','cloud_file_save','cloud_string_save','cloud_synchronise','code_is_compiled', |
6903 |
+ 'collision_circle','collision_ellipse','collision_line','collision_point','collision_rectangle','color_get_blue','color_get_green','color_get_hue','color_get_red','color_get_saturation','color_get_value', |
6904 |
+ 'cos','d3d_draw_block','d3d_draw_cone','d3d_draw_cylinder','d3d_draw_ellipsoid','d3d_draw_floor','d3d_draw_wall','d3d_end','d3d_light_define_ambient','d3d_light_define_direction','d3d_light_define_point', |
6905 |
+ 'd3d_light_enable','d3d_model_block','d3d_model_clear','d3d_model_cone','d3d_model_create','d3d_model_cylinder','d3d_model_destroy','d3d_model_draw','d3d_model_ellipsoid','d3d_model_floor','d3d_model_load', |
6906 |
+ 'd3d_model_primitive_begin','d3d_model_primitive_end','d3d_model_save','d3d_model_vertex','d3d_model_vertex_color','d3d_model_vertex_normal','d3d_model_vertex_normal_color','d3d_model_vertex_normal_texture', |
6907 |
+ 'd3d_model_vertex_normal_texture_color','d3d_model_vertex_texture','d3d_model_vertex_texture_color','d3d_model_wall','d3d_primitive_begin','d3d_primitive_begin_texture','d3d_primitive_end','d3d_set_culling', |
6908 |
+ 'd3d_set_depth','d3d_set_fog','d3d_set_hidden','d3d_set_lighting','d3d_set_perspective','d3d_set_projection','d3d_set_projection_ext','d3d_set_projection_ortho','d3d_set_projection_perspective', |
6909 |
+ 'd3d_set_shading','d3d_set_zwriteenable','d3d_start','d3d_transform_add_rotation_axis','d3d_transform_add_rotation_x','d3d_transform_add_rotation_y','d3d_transform_add_rotation_z','d3d_transform_add_scaling', |
6910 |
+ 'd3d_transform_add_translation','d3d_transform_set_identity','d3d_transform_set_rotation_axis','d3d_transform_set_rotation_x','d3d_transform_set_rotation_y','d3d_transform_set_rotation_z', |
6911 |
+ 'd3d_transform_set_scaling','d3d_transform_set_translation','d3d_transform_stack_clear','d3d_transform_stack_discard','d3d_transform_stack_empty','d3d_transform_stack_pop','d3d_transform_stack_push', |
6912 |
+ 'd3d_transform_stack_top','d3d_transform_vertex','d3d_vertex','d3d_vertex_color','d3d_vertex_normal','d3d_vertex_normal_color','d3d_vertex_normal_texture','d3d_vertex_normal_texture_color','d3d_vertex_texture', |
6913 |
+ 'd3d_vertex_texture_color','date_compare_date','date_compare_datetime','date_compare_time','date_create_datetime','date_current_datetime','date_date_of','date_date_string','date_datetime_string','date_day_span', |
6914 |
+ 'date_days_in_month','date_days_in_year','date_get_day','date_get_day_of_year','date_get_hour','date_get_hour_of_year','date_get_minute','date_get_minute_of_year','date_get_month','date_get_second', |
6915 |
+ 'date_get_second_of_year','date_get_week','date_get_weekday','date_get_year','date_hour_span','date_inc_day','date_inc_hour','date_inc_minute','date_inc_month','date_inc_second','date_inc_week','date_inc_year', |
6916 |
+ 'date_is_today','date_leap_year','date_minute_span','date_month_span','date_second_span','date_time_of','date_time_string','date_valid_datetime','date_week_span','date_year_span','degtorad','device_get_tilt_x', |
6917 |
+ 'device_get_tilt_y','device_get_tilt_z','device_ios_get_image','device_ios_get_imagename','device_is_keypad_open','device_mouse_check_button','device_mouse_check_button_pressed', |
6918 |
+ 'device_mouse_check_button_released','device_mouse_dbclick_enable','device_mouse_raw_x','device_mouse_raw_y','device_mouse_x','device_mouse_y','directory_create','directory_exists','display_get_dpi_x', |
6919 |
+ 'display_get_dpi_y','display_get_gui_height','display_get_gui_width','display_get_height','display_get_orientation','display_get_width','display_mouse_get_x','display_mouse_get_y','display_mouse_set', |
6920 |
+ 'display_reset','display_set_gui_size','distance_to_object','distance_to_point','dot_product','dot_product_3d','dot_product_3d_normalised','dot_product_normalised','draw_arrow','draw_background', |
6921 |
+ 'draw_background_ext','draw_background_general','draw_background_part','draw_background_part_ext','draw_background_stretched','draw_background_stretched_ext','draw_background_tiled','draw_background_tiled_ext', |
6922 |
+ 'draw_button','draw_circle','draw_circle_color','draw_clear','draw_clear_alpha','draw_ellipse','draw_ellipse_color','draw_enable_alphablend','draw_enable_drawevent','draw_get_alpha','draw_get_alpha_test', |
6923 |
+ 'draw_get_alpha_test_ref_value','draw_get_color','draw_getpixel','draw_getpixel_ext','draw_healthbar','draw_highscore','draw_line','draw_line_color','draw_line_width','draw_line_width_color','draw_path', |
6924 |
+ 'draw_point','draw_point_color','draw_primitive_begin','draw_primitive_begin_texture','draw_primitive_end','draw_rectangle','draw_rectangle_color','draw_roundrect','draw_roundrect_color','draw_self', |
6925 |
+ 'draw_set_alpha','draw_set_alpha_test','draw_set_alpha_test_ref_value','draw_set_blend_mode','draw_set_blend_mode_ext','draw_set_circle_precision','draw_set_color','draw_set_color_write_enable', |
6926 |
+ 'draw_set_font','draw_set_halign','draw_set_valign','draw_sprite','draw_sprite_ext','draw_sprite_general','draw_sprite_part','draw_sprite_part_ext','draw_sprite_pos','draw_sprite_stretched', |
6927 |
+ 'draw_sprite_stretched_ext','draw_sprite_tiled','draw_sprite_tiled_ext','draw_surface','draw_surface_ext','draw_surface_general','draw_surface_part','draw_surface_part_ext','draw_surface_stretched', |
6928 |
+ 'draw_surface_stretched_ext','draw_surface_tiled','draw_surface_tiled_ext','draw_text','draw_text_color','draw_text_ext','draw_text_ext_color','draw_text_ext_transformed','draw_text_ext_transformed_color', |
6929 |
+ 'draw_text_transformed','draw_text_transformed_color','draw_texture_flush','draw_triangle','draw_triangle_color','draw_vertex','draw_vertex_color','draw_vertex_texture','draw_vertex_texture_color', |
6930 |
+ 'ds_exists','ds_grid_add','ds_grid_add_disk','ds_grid_add_grid_region','ds_grid_add_region','ds_grid_clear','ds_grid_copy','ds_grid_create','ds_grid_destroy','ds_grid_get','ds_grid_get_disk_max', |
6931 |
+ 'ds_grid_get_disk_mean','ds_grid_get_disk_min','ds_grid_get_disk_sum','ds_grid_get_max','ds_grid_get_mean','ds_grid_get_min','ds_grid_get_sum','ds_grid_height','ds_grid_multiply','ds_grid_multiply_disk', |
6932 |
+ 'ds_grid_multiply_grid_region','ds_grid_multiply_region','ds_grid_read','ds_grid_resize','ds_grid_set','ds_grid_set_disk','ds_grid_set_grid_region','ds_grid_set_region','ds_grid_shuffle','ds_grid_sort', |
6933 |
+ 'ds_grid_value_disk_exists','ds_grid_value_disk_x','ds_grid_value_disk_y','ds_grid_value_exists','ds_grid_value_x','ds_grid_value_y','ds_grid_width','ds_grid_write','ds_list_add','ds_list_clear', |
6934 |
+ 'ds_list_copy','ds_list_create','ds_list_delete','ds_list_destroy','ds_list_empty','ds_list_find_index','ds_list_find_value','ds_list_insert','ds_list_mark_as_list','ds_list_mark_as_map','ds_list_read', |
6935 |
+ 'ds_list_replace','ds_list_shuffle','ds_list_size','ds_list_sort','ds_list_write','ds_map_add','ds_map_add_list','ds_map_add_map','ds_map_clear','ds_map_copy','ds_map_create','ds_map_delete', |
6936 |
+ 'ds_map_destroy','ds_map_empty','ds_map_exists','ds_map_find_first','ds_map_find_last','ds_map_find_next','ds_map_find_previous','ds_map_find_value','ds_map_read','ds_map_replace','ds_map_replace_list', |
6937 |
+ 'ds_map_replace_map','ds_map_size','ds_map_write','ds_priority_add','ds_priority_change_priority','ds_priority_clear','ds_priority_copy','ds_priority_create','ds_priority_delete_max','ds_priority_delete_min', |
6938 |
+ 'ds_priority_delete_value','ds_priority_destroy','ds_priority_empty','ds_priority_find_max','ds_priority_find_min','ds_priority_find_priority','ds_priority_read','ds_priority_size','ds_priority_write', |
6939 |
+ 'ds_queue_clear','ds_queue_copy','ds_queue_create','ds_queue_dequeue','ds_queue_destroy','ds_queue_empty','ds_queue_enqueue','ds_queue_head','ds_queue_read','ds_queue_size','ds_queue_tail','ds_queue_write', |
6940 |
+ 'ds_set_precision','ds_stack_clear','ds_stack_copy','ds_stack_create','ds_stack_destroy','ds_stack_empty','ds_stack_pop','ds_stack_push','ds_stack_read','ds_stack_size','ds_stack_top','ds_stack_write', |
6941 |
+ 'effect_clear','effect_create_above','effect_create_below','environment_get_variable','event_inherited','event_perform','event_perform_object','event_user','exp','external_call','external_define','external_free', |
6942 |
+ 'facebook_accesstoken','facebook_dialog','facebook_graph_request','facebook_init','facebook_launch_offerwall','facebook_login','facebook_logout','facebook_post_message','facebook_send_invite','facebook_status', |
6943 |
+ 'facebook_user_id','file_attributes','file_bin_close','file_bin_open','file_bin_position','file_bin_read_byte','file_bin_rewrite','file_bin_seek','file_bin_size','file_bin_write_byte','file_copy','file_delete', |
6944 |
+ 'file_exists','file_find_close','file_find_first','file_find_next','file_rename','file_text_close','file_text_eof','file_text_eoln','file_text_open_append','file_text_open_from_string','file_text_open_read', |
6945 |
+ 'file_text_open_write','file_text_read_real','file_text_read_string','file_text_readln','file_text_write_real','file_text_write_string','file_text_writeln','filename_change_ext','filename_dir','filename_drive', |
6946 |
+ 'filename_ext','filename_name','filename_path','floor','font_add','font_add_sprite','font_add_sprite_ext','font_delete','font_exists','font_get_bold','font_get_first','font_get_fontname','font_get_italic', |
6947 |
+ 'font_get_last','font_get_name','font_get_size','font_get_texture','font_get_uvs','font_replace','font_replace_sprite','font_replace_sprite_ext','font_set_cache_size','frac','game_end','game_load', |
6948 |
+ 'game_load_buffer','game_restart','game_save','game_save_buffer','gamepad_axis_count','gamepad_axis_value','gamepad_button_check','gamepad_button_check_pressed','gamepad_button_check_released', |
6949 |
+ 'gamepad_button_count','gamepad_button_value','gamepad_get_axis_deadzone','gamepad_get_button_threshold','gamepad_get_description','gamepad_get_device_count','gamepad_is_connected','gamepad_is_supported', |
6950 |
+ 'gamepad_set_axis_deadzone','gamepad_set_button_threshold','gamepad_set_vibration','get_integer','get_integer_async','get_login_async','get_open_filename','get_open_filename_ext','get_save_filename', |
6951 |
+ 'get_save_filename_ext','get_string','get_string_async','get_timer','highscore_add','highscore_clear','highscore_name','highscore_value','http_get','http_get_file','http_post_string','iap_acquire', |
6952 |
+ 'iap_activate','iap_consume','iap_event_queue','iap_files_purchased','iap_is_downloaded','iap_is_purchased','iap_product_details','iap_product_files','iap_product_status','iap_restore_all','iap_status', |
6953 |
+ 'iap_store_status','immersion_play_effect','immersion_stop','ini_close','ini_key_delete','ini_key_exists','ini_open','ini_open_from_string','ini_read_real','ini_read_string','ini_section_delete', |
6954 |
+ 'ini_section_exists','ini_write_real','ini_write_string','instance_activate_all','instance_activate_object','instance_activate_region','instance_change','instance_copy','instance_create', |
6955 |
+ 'instance_deactivate_all','instance_deactivate_object','instance_deactivate_region','instance_destroy','instance_exists','instance_find','instance_furthest','instance_nearest','instance_number', |
6956 |
+ 'instance_place','instance_position','io_clear','irandom','irandom_range','is_array','is_real','is_string','joystick_axes','joystick_buttons','joystick_check_button','joystick_direction','joystick_exists', |
6957 |
+ 'joystick_has_pov','joystick_name','joystick_pov','joystick_rpos','joystick_upos','joystick_vpos','joystick_xpos','joystick_ypos','joystick_zpos','json_decode','json_encode','keyboard_check', |
6958 |
+ 'keyboard_check_direct','keyboard_check_pressed','keyboard_check_released','keyboard_clear','keyboard_get_map','keyboard_get_numlock','keyboard_key_press','keyboard_key_release','keyboard_set_map', |
6959 |
+ 'keyboard_set_numlock','keyboard_unset_map','lengthdir_x','lengthdir_y','lerp','ln','log10','log2','logn','make_color_hsv','make_color_rgb','math_set_epsilon','matrix_build','matrix_get','matrix_multiply', |
6960 |
+ 'matrix_set','max','md5_file','md5_string_unicode','md5_string_utf8','mean','median','merge_color','message_caption','min','motion_add','motion_set','mouse_check_button','mouse_check_button_pressed', |
6961 |
+ 'mouse_check_button_released','mouse_clear','mouse_wheel_down','mouse_wheel_up','move_bounce','move_bounce_all','move_bounce_solid','move_contact','move_contact_all','move_contact_solid','move_outside_all', |
6962 |
+ 'move_outside_solid','move_random','move_snap','move_towards_point','move_wrap','mp_grid_add_cell','mp_grid_add_instances','mp_grid_add_rectangle','mp_grid_clear_all','mp_grid_clear_cell', |
6963 |
+ 'mp_grid_clear_rectangle','mp_grid_create','mp_grid_destroy','mp_grid_draw','mp_grid_path','mp_linear_path','mp_linear_path_object','mp_linear_step','mp_linear_step_object','mp_potential_path', |
6964 |
+ 'mp_potential_path_object','mp_potential_settings','mp_potential_step','mp_potential_step_object','network_connect','network_connect_raw','network_create_server','network_create_socket','network_destroy', |
6965 |
+ 'network_destroy','network_resolve','network_send_broadcast','network_send_packet','network_send_raw','network_send_udp','network_set_timeout','object_exists','object_get_depth','object_get_mask', |
6966 |
+ 'object_get_name','object_get_parent','object_get_persistent','object_get_physics','object_get_solid','object_get_sprite','object_get_visible','object_is_ancestor','object_set_depth','object_set_mask', |
6967 |
+ 'object_set_persistent','object_set_solid','object_set_sprite','object_set_visible','ord','os_get_config','os_get_info','os_get_language','os_is_network_connected','os_is_paused','os_lock_orientation', |
6968 |
+ 'os_powersave_enable','parameter_count','parameter_string','part_emitter_burst','part_emitter_clear','part_emitter_create','part_emitter_destroy','part_emitter_destroy_all','part_emitter_exists', |
6969 |
+ 'part_emitter_region','part_emitter_stream','part_particles_clear','part_particles_count','part_particles_create','part_particles_create_color','part_system_automatic_draw','part_system_automatic_update', |
6970 |
+ 'part_system_clear','part_system_create','part_system_depth','part_system_destroy','part_system_draw_order','part_system_drawit','part_system_exists','part_system_position','part_system_update', |
6971 |
+ 'part_type_alpha','part_type_alpha1','part_type_alpha2','part_type_alpha3','part_type_blend','part_type_clear','part_type_color','part_type_color1','part_type_color2','part_type_color3','part_type_color_hsv', |
6972 |
+ 'part_type_color_mix','part_type_color_rgb','part_type_create','part_type_death','part_type_destroy','part_type_direction','part_type_exists','part_type_gravity','part_type_life','part_type_orientation', |
6973 |
+ 'part_type_scale','part_type_shape','part_type_size','part_type_speed','part_type_sprite','part_type_step','path_add','path_add_point','path_append','path_assign','path_change_point','path_clear_points', |
6974 |
+ 'path_delete','path_delete_point','path_duplicate','path_end','path_exists','path_flip','path_get_closed','path_get_kind','path_get_length','path_get_name','path_get_number','path_get_point_speed', |
6975 |
+ 'path_get_point_x','path_get_point_y','path_get_precision','path_get_speed','path_get_x','path_get_y','path_insert_point','path_mirror','path_rescale','path_reverse','path_rotate','path_set_closed', |
6976 |
+ 'path_set_kind','path_set_precision','path_shift','path_start','physics_apply_force','physics_apply_impulse','physics_apply_local_force','physics_apply_local_impulse','physics_apply_torque', |
6977 |
+ 'physics_draw_debug','physics_fixture_add_point','physics_fixture_bind','physics_fixture_bind_ext','physics_fixture_create','physics_fixture_delete','physics_fixture_set_angular_damping', |
6978 |
+ 'physics_fixture_set_awake','physics_fixture_set_box_shape','physics_fixture_set_circle_shape','physics_fixture_set_collision_group','physics_fixture_set_density','physics_fixture_set_edge_shape', |
6979 |
+ 'physics_fixture_set_friction','physics_fixture_set_kinematic','physics_fixture_set_linear_damping','physics_fixture_set_polygon_shape','physics_fixture_set_restitution','physics_fixture_set_sensor', |
6980 |
+ 'physics_get_density','physics_get_friction','physics_get_restitution','physics_joint_delete','physics_joint_distance_create','physics_joint_enable_motor','physics_joint_gear_create','physics_joint_get_value', |
6981 |
+ 'physics_joint_prismatic_create','physics_joint_pulley_create','physics_joint_revolute_create','physics_joint_set_value','physics_mass_properties','physics_pause_enable','physics_remove_fixture','physics_set_density', |
6982 |
+ 'physics_set_friction','physics_set_restitution','physics_test_overlap','physics_world_create','physics_world_draw_debug','physics_world_gravity','physics_world_update_iterations','physics_world_update_speed', |
6983 |
+ 'place_empty','place_free','place_meeting','place_snapped','playhaven_add_notification_badge','playhaven_hide_notification_badge','playhaven_position_notification_badge','playhaven_update_notification_badge', |
6984 |
+ 'pocketchange_display_reward','pocketchange_display_shop','point_direction','point_distance','point_distance_3d','position_change','position_destroy','position_empty','position_meeting','power','radtodeg','random', |
6985 |
+ 'random_get_seed','random_range','random_set_seed','randomize','real','room_add','room_assign','room_duplicate','room_exists','room_get_name','room_goto','room_goto_next','room_goto_previous','room_instance_add', |
6986 |
+ 'room_instance_clear','room_next','room_previous','room_restart','room_set_background','room_set_background_color','room_set_height','room_set_persistent','room_set_view','room_set_view_enabled','room_set_width', |
6987 |
+ 'room_tile_add','room_tile_add_ext','room_tile_clear','round','screen_save','screen_save_part','script_execute','script_exists','script_get_name','sha1_file','sha1_string_unicode','sha1_string_utf8','shader_enable_corner_id', |
6988 |
+ 'shader_get_sampler_index','shader_get_uniform','shader_is_compiled','shader_reset','shader_set','shader_set_uniform_f','shader_set_uniform_f_array','shader_set_uniform_i','shader_set_uniform_i_array', |
6989 |
+ 'shader_set_uniform_matrix','shader_set_uniform_matrix_array','shaders_are_supported','shop_leave_rating','show_debug_message','show_debug_overlay','show_error','show_message','show_message','show_message_async', |
6990 |
+ 'show_question','show_question_async','sign','sin','sound_add','sound_delete','sound_exists','sound_fade','sound_get_name','sound_global_volume','sound_isplaying','sound_loop','sound_play','sound_replace', |
6991 |
+ 'sound_stop','sound_stop_all','sound_volume','sprite_add','sprite_add_from_surface','sprite_assign','sprite_collision_mask','sprite_create_from_surface','sprite_delete','sprite_duplicate','sprite_exists', |
6992 |
+ 'sprite_get_bbox_bottom','sprite_get_bbox_left','sprite_get_bbox_right','sprite_get_bbox_top','sprite_get_height','sprite_get_name','sprite_get_number','sprite_get_texture','sprite_get_tpe','sprite_get_uvs', |
6993 |
+ 'sprite_get_width','sprite_get_xoffset','sprite_get_yoffset','sprite_merge','sprite_replace','sprite_save','sprite_save_strip','sprite_set_alpha_from_sprite','sprite_set_cache_size','sprite_set_cache_size_ext', |
6994 |
+ 'sprite_set_offset','sqr','sqrt','steam_activate_overlay','steam_clear_achievement','steam_create_leaderboard','steam_download_friends_scores','steam_download_scores','steam_download_scores_around_user', |
6995 |
+ 'steam_file_delete','steam_file_exists','steam_file_persisted','steam_file_read','steam_file_share','steam_file_size','steam_file_write','steam_file_write_file','steam_get_achievement','steam_get_persona_name', |
6996 |
+ 'steam_get_quota_free','steam_get_quota_total','steam_get_stat_avg_rate','steam_get_stat_float','steam_get_stat_int','steam_get_user_steam_id','steam_initialised','steam_is_cloud_enabled_for_account', |
6997 |
+ 'steam_is_cloud_enabled_for_app','steam_is_overlay_activated','steam_is_overlay_enabled','steam_is_screenshot_requested','steam_is_user_logged_on','steam_publish_workshop_file','steam_reset_all_stats', |
6998 |
+ 'steam_reset_all_stats_achievements','steam_send_screenshot','steam_set_achievement','steam_set_stat_avg_rate','steam_set_stat_float','steam_set_stat_int','steam_stats_ready','steam_upload_score', |
6999 |
+ 'steam_user_installed_dlc','steam_user_owns_dlc','string','string_byte_at','string_byte_length','string_char_at','string_copy','string_count','string_delete','string_digits','string_format','string_height', |
7000 |
+ 'string_height_ext','string_insert','string_length','string_letters','string_lettersdigits','string_lower','string_pos','string_repeat','string_replace','string_replace_all','string_set_byte_at','string_upper', |
7001 |
+ 'string_width','string_width_ext','surface_copy','surface_copy_part','surface_create','surface_create_ext','surface_exists','surface_free','surface_get_height','surface_get_texture','surface_get_width', |
7002 |
+ 'surface_getpixel','surface_getpixel_ext','surface_reset_target','surface_save','surface_save_part','surface_set_target','surface_set_target_ext','tan','texture_exists','texture_get_height','texture_get_texel_height', |
7003 |
+ 'texture_get_texel_width','texture_get_width','texture_set_blending','texture_set_interpolation','texture_set_interpolation_ext','texture_set_repeat','texture_set_repeat_ext','texture_set_stage','tile_add', |
7004 |
+ 'tile_delete','tile_delete_at','tile_exists','tile_find','tile_get_alpha','tile_get_background','tile_get_blend','tile_get_depth','tile_get_height','tile_get_left','tile_get_top','tile_get_visible','tile_get_width', |
7005 |
+ 'tile_get_x','tile_get_xscale','tile_get_y','tile_get_yscale','tile_layer_delete','tile_layer_delete_at','tile_layer_depth','tile_layer_find','tile_layer_hide','tile_layer_shift','tile_layer_show','tile_set_alpha', |
7006 |
+ 'tile_set_background','tile_set_blend','tile_set_depth','tile_set_position','tile_set_region','tile_set_scale','tile_set_visible','timeline_add','timeline_clear','timeline_delete','timeline_exists','timeline_get_name', |
7007 |
+ 'timeline_moment_clear','url_get_domain','url_open','url_open_ext','url_open_full','vertex_argb','vertex_begin','vertex_colour','vertex_create_buffer','vertex_create_buffer_ext','vertex_delete_buffer','vertex_end', |
7008 |
+ 'vertex_float1','vertex_float2','vertex_float3','vertex_float4','vertex_format_add_colour','vertex_format_add_custom','vertex_format_add_normal','vertex_format_add_position','vertex_format_add_position_3d', |
7009 |
+ 'vertex_format_add_textcoord','vertex_format_begin','vertex_format_end','vertex_freeze','vertex_normal','vertex_position','vertex_position_3d','vertex_submit','vertex_texcoord','vertex_ubyte4','virtual_key_add', |
7010 |
+ 'virtual_key_delete','virtual_key_hide','virtual_key_show','win8_appbar_add_element','win8_appbar_enable','win8_appbar_remove_element','win8_device_touchscreen_available','win8_license_initialize_sandbox', |
7011 |
+ 'win8_license_trial_version','win8_livetile_badge_clear','win8_livetile_badge_notification','win8_livetile_notification_begin','win8_livetile_notification_end','win8_livetile_notification_expiry', |
7012 |
+ 'win8_livetile_notification_image_add','win8_livetile_notification_secondary_begin','win8_livetile_notification_tag','win8_livetile_notification_text_add','win8_livetile_queue_enable','win8_livetile_tile_clear', |
7013 |
+ 'win8_livetile_tile_notification','win8_search_add_suggestions','win8_search_disable','win8_search_enable','win8_secondarytile_badge_notification','win8_secondarytile_delete','win8_secondarytile_pin', |
7014 |
+ 'win8_settingscharm_add_entry','win8_settingscharm_add_html_entry','win8_settingscharm_add_xaml_entry','win8_settingscharm_get_xaml_property','win8_settingscharm_remove_entry','win8_settingscharm_set_xaml_property', |
7015 |
+ 'win8_share_file','win8_share_image','win8_share_screenshot','win8_share_text','win8_share_url','window_center','window_get_caption','window_get_color','window_get_cursor','window_get_fullscreen','window_get_height', |
7016 |
+ 'window_get_width','window_get_x','window_get_y','window_handle','window_has_focus','window_mouse_get_x','window_mouse_get_y','window_mouse_set','window_set_caption','window_set_color','window_set_cursor', |
7017 |
+ 'window_set_fullscreen','window_set_position','window_set_rectangle','window_set_size','window_view_mouse_get_x','window_view_mouse_get_y','window_views_mouse_get_x','window_views_mouse_get_y', |
7018 |
+ 'winphone_license_trial_version','winphone_tile_back_content','winphone_tile_back_content_wide','winphone_tile_back_image','winphone_tile_back_image_wide','winphone_tile_back_title','winphone_tile_background_color', |
7019 |
+ 'winphone_tile_count','winphone_tile_cycle_images','winphone_tile_front_image','winphone_tile_front_image_small','winphone_tile_front_image_wide','winphone_tile_icon_image','winphone_tile_small_background_image', |
7020 |
+ 'winphone_tile_small_icon_image','winphone_tile_title','winphone_tile_wide_content','zip_unzip' |
7021 |
), |
7022 |
- // functions |
7023 |
+ |
7024 |
+ // Constants |
7025 |
3 => array( |
7026 |
- // Computing things |
7027 |
- 'random','choose','abs','sign','round','floor','ceil','frac','sqrt','sqr','power','exp','ln', |
7028 |
- 'log2','log10','logn','sin','cos','tan','arcsin','arccos','arctan','arctan2','degtorad', |
7029 |
- 'radtodeg','min','max','mean','median','point_distance','point_direction','lengthdir_x', |
7030 |
- 'lengthdir_y','is_real','is_string', |
7031 |
- 'chr','ord','real','string','string_format','string_length','string_pos','string_copy', |
7032 |
- 'string_char_at','string_delete','string_insert','string_replace','string_replace_all', |
7033 |
- 'string_count','string_lower','string_upper','string_repeat','string_letters','string_digits', |
7034 |
- 'string_lettersdigits','clipboard_has_text','clipboard_get_text','clipboard_set_text', |
7035 |
- 'date_current_datetime','date_current_date','date_current_time','date_create_datetime', |
7036 |
- 'date_create_date','date_create_time','date_valid_datetime','date_valid_date','date_valid_time', |
7037 |
- 'date_inc_year','date_inc_month','date_inc_week','date_inc_day','date_inc_hour', |
7038 |
- 'date_inc_minute','date_inc_second','date_get_year','date_get_month','date_get_week', |
7039 |
- 'date_get_day','date_get_hour', 'date_get_minute','date_get_second','date_get_weekday', |
7040 |
- 'date_get_day_of_year','date_get_hour_of_year','date_get_minute_of_year', |
7041 |
- 'date_get_second_of_year','date_year_span','date_month_span','date_week_span','date_day_span', |
7042 |
- 'date_hour_span','date_minute_span','date_second_span','date_compare_datetime', |
7043 |
- 'date_compare_date','date_compare_time','date_date_of','date_time_of','date_datetime_string', |
7044 |
- 'date_date_string','date_time_string','date_days_in_month','date_days_in_year','date_leap_year', |
7045 |
- 'date_is_today', |
7046 |
- // Game play |
7047 |
- 'motion_set','motion_add','place_free','place_empty','place_meeting','place_snapped', |
7048 |
- 'move_random','move_snap','move_wrap','move_towards_point','move_bounce_solid','move_bounce_all', |
7049 |
- 'move_contact_solid','move_contact_all','move_outside_solid','move_outside_all', |
7050 |
- 'distance_to_point','distance_to_object','position_empty','position_meeting', |
7051 |
- 'path_start','path_end', |
7052 |
- 'mp_linear_step','mp_linear_step_object','mp_potential_step','mp_potential_step_object', |
7053 |
- 'mp_potential_settings','mp_linear_path','mp_linear_path_object', 'mp_potential_path', |
7054 |
- 'mp_potential_path_object','mp_grid_create','mp_grid_destroy','mp_grid_clear_all', |
7055 |
- 'mp_grid_clear_cell','mp_grid_clear_rectangle','mp_grid_add_cell','mp_grid_add_rectangle', |
7056 |
- 'mp_grid_add_instances','mp_grid_path','mp_grid_draw', |
7057 |
- 'collision_point','collision_rectangle','collision_circle','collision_ellipse','collision_line', |
7058 |
- 'instance_find','instance_exists','instance_number','instance_position','instance_nearest', |
7059 |
- 'instance_furthest','instance_place','instance_create','instance_copy','instance_destroy', |
7060 |
- 'instance_change','position_destroy','position_change', |
7061 |
- 'instance_deactivate_all','instance_deactivate_object','instance_deactivate_region', |
7062 |
- 'instance_activate_all','instance_activate_object','instance_activate_region', |
7063 |
- 'sleep', |
7064 |
- 'room_goto','room_goto_previous','room_goto_next','room_restart','room_previous','room_next', |
7065 |
- 'game_end','game_restart','game_save','game_load', |
7066 |
- 'event_perform', 'event_perform_object','event_user','event_inherited', |
7067 |
- 'show_debug_message','variable_global_exists','variable_local_exists','variable_global_get', |
7068 |
- 'variable_global_array_get','variable_global_array2_get','variable_local_get', |
7069 |
- 'variable_local_array_get','variable_local_array2_get','variable_global_set', |
7070 |
- 'variable_global_array_set','variable_global_array2_set','variable_local_set', |
7071 |
- 'variable_local_array_set','variable_local_array2_set','set_program_priority', |
7072 |
- // User interaction |
7073 |
- 'keyboard_set_map','keyboard_get_map','keyboard_unset_map','keyboard_check', |
7074 |
- 'keyboard_check_pressed','keyboard_check_released','keyboard_check_direct', |
7075 |
- 'keyboard_get_numlock','keyboard_set_numlock','keyboard_key_press','keyboard_key_release', |
7076 |
- 'keyboard_clear','io_clear','io_handle','keyboard_wait', |
7077 |
- 'mouse_check_button','mouse_check_button_pressed','mouse_check_button_released','mouse_clear', |
7078 |
- 'mouse_wait', |
7079 |
- 'joystick_exists','joystick_name','joystick_axes','joystick_buttons','joystick_has_pov', |
7080 |
- 'joystick_direction','joystick_check_button','joystick_xpos','joystick_ypos','joystick_zpos', |
7081 |
- 'joystick_rpos','joystick_upos','joystick_vpos','joystick_pov', |
7082 |
- // Game Graphics |
7083 |
- 'draw_sprite','draw_sprite_stretched','draw_sprite_tiled','draw_sprite_part','draw_background', |
7084 |
- 'draw_background_stretched','draw_background_tiled','draw_background_part','draw_sprite_ext', |
7085 |
- 'draw_sprite_stretched_ext','draw_sprite_tiled_ext','draw_sprite_part_ext','draw_sprite_general', |
7086 |
- 'draw_background_ext','draw_background_stretched_ext','draw_background_tiled_ext', |
7087 |
- 'draw_background_part_ext','draw_background_general', |
7088 |
- 'draw_clear','draw_clear_alpha','draw_point','draw_line','draw_rectangle','draw_roundrect', |
7089 |
- 'draw_triangle','draw_circle','draw_ellipse','draw_arrow','draw_button','draw_path', |
7090 |
- 'draw_healthbar','draw_set_color','draw_set_alpha','draw_get_color','draw_get_alpha', |
7091 |
- 'make_color_rgb','make_color_hsv','color_get_red','color_get_green','color_get_blue', |
7092 |
- 'color_get_hue','color_get_saturation','color_get_value','merge_color','draw_getpixel', |
7093 |
- 'screen_save','screen_save_part', |
7094 |
- 'draw_set_font','draw_set_halign','draw_set_valign','draw_text','draw_text_ext','string_width', |
7095 |
- 'string_height','string_width_ext','string_height_ext','draw_text_transformed', |
7096 |
- 'draw_text_ext_transformed','draw_text_color','draw_text_ext_color', |
7097 |
- 'draw_text_transformed_color','draw_text_ext_transformed_color', |
7098 |
- 'draw_point_color','draw_line_color','draw_rectangle_color','draw_roundrect_color', |
7099 |
- 'draw_triangle_color','draw_circle_color','draw_ellipse_color','draw_primitive_begin', |
7100 |
- 'draw_vertex','draw_vertex_color','draw_primitive_end','sprite_get_texture', |
7101 |
- 'background_get_texture','texture_preload','texture_set_priority', |
7102 |
- 'texture_get_width','texture_get_height','draw_primitive_begin_texture','draw_vertex_texture', |
7103 |
- 'draw_vertex_texture_color','texture_set_interpolation', |
7104 |
- 'texture_set_blending','texture_set_repeat','draw_set_blend_mode','draw_set_blend_mode_ext', |
7105 |
- 'surface_create','surface_free','surface_exists','surface_get_width','surface_get_height', |
7106 |
- 'surface_get_texture','surface_set_target','surface_reset_target','surface_getpixel', |
7107 |
- 'surface_save','surface_save_part','draw_surface','draw_surface_stretched','draw_surface_tiled', |
7108 |
- 'draw_surface_part','draw_surface_ext','draw_surface_stretched_ext','draw_surface_tiled_ext', |
7109 |
- 'draw_surface_part_ext','draw_surface_general','surface_copy','surface_copy_part', |
7110 |
- 'tile_add','tile_delete','tile_exists','tile_get_x','tile_get_y','tile_get_left','tile_get_top', |
7111 |
- 'tile_get_width','tile_get_height','tile_get_depth','tile_get_visible','tile_get_xscale', |
7112 |
- 'tile_get_yscale','tile_get_background','tile_get_blend','tile_get_alpha','tile_set_position', |
7113 |
- 'tile_set_region','tile_set_background','tile_set_visible','tile_set_depth','tile_set_scale', |
7114 |
- 'tile_set_blend','tile_set_alpha','tile_layer_hide','tile_layer_show','tile_layer_delete', |
7115 |
- 'tile_layer_shift','tile_layer_find','tile_layer_delete_at','tile_layer_depth', |
7116 |
- 'display_get_width','display_get_height','display_get_colordepth','display_get_frequency', |
7117 |
- 'display_set_size','display_set_colordepth','display_set_frequency','display_set_all', |
7118 |
- 'display_test_all','display_reset','display_mouse_get_x','display_mouse_get_y','display_mouse_set', |
7119 |
- 'window_set_visible','window_get_visible','window_set_fullscreen','window_get_fullscreen', |
7120 |
- 'window_set_showborder','window_get_showborder','window_set_showicons','window_get_showicons', |
7121 |
- 'window_set_stayontop','window_get_stayontop','window_set_sizeable','window_get_sizeable', |
7122 |
- 'window_set_caption','window_get_caption','window_set_cursor', 'window_get_cursor', |
7123 |
- 'window_set_color','window_get_color','window_set_region_scale','window_get_region_scale', |
7124 |
- 'window_set_position','window_set_size','window_set_rectangle','window_center','window_default', |
7125 |
- 'window_get_x','window_get_y','window_get_width','window_get_height','window_mouse_get_x', |
7126 |
- 'window_mouse_get_y','window_mouse_set', |
7127 |
- 'window_set_region_size','window_get_region_width','window_get_region_height', |
7128 |
- 'window_view_mouse_get_x','window_view_mouse_get_y','window_view_mouse_set', |
7129 |
- 'window_views_mouse_get_x','window_views_mouse_get_y','window_views_mouse_set', |
7130 |
- 'screen_redraw','screen_refresh','set_automatic_draw','set_synchronization','screen_wait_vsync', |
7131 |
- // Sound and music) |
7132 |
- 'sound_play','sound_loop','sound_stop','sound_stop_all','sound_isplaying','sound_volume', |
7133 |
- 'sound_global_volume','sound_fade','sound_pan','sound_background_tempo','sound_set_search_directory', |
7134 |
- 'sound_effect_set','sound_effect_chorus','sound_effect_echo', 'sound_effect_flanger', |
7135 |
- 'sound_effect_gargle','sound_effect_reverb','sound_effect_compressor','sound_effect_equalizer', |
7136 |
- 'sound_3d_set_sound_position','sound_3d_set_sound_velocity','sound_3d_set_sound_distance', |
7137 |
- 'sound_3d_set_sound_cone', |
7138 |
- 'cd_init','cd_present','cd_number','cd_playing','cd_paused','cd_track','cd_length', |
7139 |
- 'cd_track_length','cd_position','cd_track_position','cd_play','cd_stop','cd_pause','cd_resume', |
7140 |
- 'cd_set_position','cd_set_track_position','cd_open_door','cd_close_door','MCI_command', |
7141 |
- // Splash screens, highscores, and other pop-ups |
7142 |
- 'show_text','show_image','show_video','show_info','load_info', |
7143 |
- 'show_message','show_message_ext','show_question','get_integer','get_string', |
7144 |
- 'message_background','message_alpha','message_button','message_text_font','message_button_font', |
7145 |
- 'message_input_font','message_mouse_color','message_input_color','message_caption', |
7146 |
- 'message_position','message_size','show_menu','show_menu_pos','get_color','get_open_filename', |
7147 |
- 'get_save_filename','get_directory','get_directory_alt','show_error', |
7148 |
- 'highscore_show','highscore_set_background','highscore_set_border','highscore_set_font', |
7149 |
- 'highscore_set_colors','highscore_set_strings','highscore_show_ext','highscore_clear', |
7150 |
- 'highscore_add','highscore_add_current','highscore_value','highscore_name','draw_highscore', |
7151 |
- // Resources |
7152 |
- 'sprite_exists','sprite_get_name','sprite_get_number','sprite_get_width','sprite_get_height', |
7153 |
- 'sprite_get_transparent','sprite_get_smooth','sprite_get_preload','sprite_get_xoffset', |
7154 |
- 'sprite_get_yoffset','sprite_get_bbox_left','sprite_get_bbox_right','sprite_get_bbox_top', |
7155 |
- 'sprite_get_bbox_bottom','sprite_get_bbox_mode','sprite_get_precise', |
7156 |
- 'sound_exists','sound_get_name','sound_get_kind','sound_get_preload','sound_discard', |
7157 |
- 'sound_restore', |
7158 |
- 'background_exists','background_get_name','background_get_width','background_get_height', |
7159 |
- 'background_get_transparent','background_get_smooth','background_get_preload', |
7160 |
- 'font_exists','font_get_name','font_get_fontname','font_get_bold','font_get_italic', |
7161 |
- 'font_get_first','font_get_last', |
7162 |
- 'path_exists','path_get_name','path_get_length','path_get_kind','path_get_closed', |
7163 |
- 'path_get_precision','path_get_number','path_get_point_x','path_get_point_y', |
7164 |
- 'path_get_point_speed','path_get_x','path_get_y','path_get_speed', |
7165 |
- 'script_exists','script_get_name','script_get_text', |
7166 |
- 'timeline_exists','timeline_get_name', |
7167 |
- 'object_exists','object_get_name','object_get_sprite','object_get_solid','object_get_visible', |
7168 |
- 'object_get_depth','object_get_persistent','object_get_mask','object_get_parent', |
7169 |
- 'object_is_ancestor', |
7170 |
- 'room_exists','room_get_name', |
7171 |
- // Changing resources |
7172 |
- 'sprite_set_offset','sprite_set_bbox_mode','sprite_set_bbox','sprite_set_precise', |
7173 |
- 'sprite_duplicate','sprite_assign','sprite_merge','sprite_add','sprite_replace', |
7174 |
- 'sprite_create_from_screen','sprite_add_from_screen','sprite_create_from_surface', |
7175 |
- 'sprite_add_from_surface','sprite_delete','sprite_set_alpha_from_sprite', |
7176 |
- 'sound_add','sound_replace','sound_delete', |
7177 |
- 'background_duplicate','background_assign','background_add','background_replace', |
7178 |
- 'background_create_color','background_create_gradient','background_create_from_screen', |
7179 |
- 'background_create_from_surface','background_delete','background_set_alpha_from_background', |
7180 |
- 'font_add','font_add_sprite','font_replace_sprite','font_delete', |
7181 |
- 'path_set_kind','path_set_closed','path_set_precision','path_add','path_delete','path_duplicate', |
7182 |
- 'path_assign','path_append','path_add_point','path_insert_point','path_change_point', |
7183 |
- 'path_delete_point','path_clear_points','path_reverse','path_mirror','path_flip','path_rotate', |
7184 |
- 'path_scale','path_shift', |
7185 |
- 'execute_string','execute_file','script_execute', |
7186 |
- 'timeline_add','timeline_delete','timeline_moment_add','timeline_moment_clear', |
7187 |
- 'object_set_sprite','object_set_solid','object_set_visible','object_set_depth', |
7188 |
- 'object_set_persistent','object_set_mask','object_set_parent','object_add','object_delete', |
7189 |
- 'object_event_add','object_event_clear', |
7190 |
- 'room_set_width','room_set_height','room_set_caption','room_set_persistent','room_set_code', |
7191 |
- 'room_set_background_color','room_set_background','room_set_view','room_set_view_enabled', |
7192 |
- 'room_add','room_duplicate','room_assign','room_instance_add','room_instance_clear', |
7193 |
- 'room_tile_add','room_tile_add_ext','room_tile_clear', |
7194 |
- // Files, registry and executing programs |
7195 |
- 'file_text_open_read','file_text_open_write','file_text_open_append','file_text_close', |
7196 |
- 'file_text_write_string','file_text_write_real','file_text_writeln','file_text_read_string', |
7197 |
- 'file_text_read_real','file_text_readln','file_text_eof','file_exists','file_delete', |
7198 |
- 'file_rename','file_copy','directory_exists','directory_create','file_find_first', |
7199 |
- 'file_find_next','file_find_close','file_attributes', 'filename_name','filename_path', |
7200 |
- 'filename_dir','filename_drive','filename_ext','filename_change_ext','file_bin_open', |
7201 |
- 'file_bin_rewrite','file_bin_close','file_bin_size','file_bin_position','file_bin_seek', |
7202 |
- 'file_bin_write_byte','file_bin_read_byte','parameter_count','parameter_string', |
7203 |
- 'environment_get_variable', |
7204 |
- 'registry_write_string','registry_write_real','registry_read_string','registry_read_real', |
7205 |
- 'registry_exists','registry_write_string_ext','registry_write_real_ext', |
7206 |
- 'registry_read_string_ext','registry_read_real_ext','registry_exists_ext','registry_set_root', |
7207 |
- 'ini_open','ini_close','ini_read_string','ini_read_real','ini_write_string','ini_write_real', |
7208 |
- 'ini_key_exists','ini_section_exists','ini_key_delete','ini_section_delete', |
7209 |
- 'execute_program','execute_shell', |
7210 |
- // Data structures |
7211 |
- 'ds_stack_create','ds_stack_destroy','ds_stack_clear','ds_stack_size','ds_stack_empty', |
7212 |
- 'ds_stack_push','ds_stack_pop','ds_stack_top', |
7213 |
- 'ds_queue_create','ds_queue_destroy','ds_queue_clear','ds_queue_size','ds_queue_empty', |
7214 |
- 'ds_queue_enqueue','ds_queue_dequeue','ds_queue_head','ds_queue_tail', |
7215 |
- 'ds_list_create','ds_list_destroy','ds_list_clear','ds_list_size','ds_list_empty','ds_list_add', |
7216 |
- 'ds_list_insert','ds_list_replace','ds_list_delete','ds_list_find_index','ds_list_find_value', |
7217 |
- 'ds_list_sort', |
7218 |
- 'ds_map_create','ds_map_destroy','ds_map_clear','ds_map_size','ds_map_empty','ds_map_add', |
7219 |
- 'ds_map_replace','ds_map_delete','ds_map_exists','ds_map_find_value','ds_map_find_previous', |
7220 |
- 'ds_map_find_next','ds_map_find_first','ds_map_find_last', |
7221 |
- 'ds_priority_create','ds_priority_destroy','ds_priority_clear','ds_priority_size', |
7222 |
- 'ds_priority_empty','ds_priority_add','ds_priority_change_priority','ds_priority_find_priority', |
7223 |
- 'ds_priority_delete_value','ds_priority_delete_min','ds_priority_find_min', |
7224 |
- 'ds_priority_delete_max','ds_priority_find_max', |
7225 |
- 'ds_grid_create','ds_grid_destroy','ds_grid_resize','ds_grid_width','ds_grid_height', |
7226 |
- 'ds_grid_clear','ds_grid_set','ds_grid_add','ds_grid_multiply','ds_grid_set_region', |
7227 |
- 'ds_grid_add_region','ds_grid_multiply_region','ds_grid_set_disk','ds_grid_add_disk', |
7228 |
- 'ds_grid_multiply_disk','ds_grid_get','ds_grid_get_sum','ds_grid_get_max','ds_grid_get_min', |
7229 |
- 'ds_grid_get_mean','ds_grid_get_disk_sum','ds_grid_get_disk_min','ds_grid_get_disk_max', |
7230 |
- 'ds_grid_get_disk_mean','ds_grid_value_exists','ds_grid_value_x','ds_grid_value_y', |
7231 |
- 'ds_grid_value_disk_exists','ds_grid_value_disk_x','ds_grid_value_disk_y', |
7232 |
- // Creating particles |
7233 |
- 'effect_create_below','effect_create_above','effect_clear', |
7234 |
- 'part_type_create','part_type_destroy','part_type_exists','part_type_clear','part_type_shape', |
7235 |
- 'part_type_sprite','part_type_size','part_type_scale', |
7236 |
- 'part_type_orientation','part_type_color1','part_type_color2','part_type_color3', |
7237 |
- 'part_type_color_mix','part_type_color_rgb','part_type_color_hsv', |
7238 |
- 'part_type_alpha1','part_type_alpha2','part_type_alpha3','part_type_blend','part_type_life', |
7239 |
- 'part_type_step','part_type_death','part_type_speed','part_type_direction','part_type_gravity', |
7240 |
- 'part_system_create','part_system_destroy','part_system_exists','part_system_clear', |
7241 |
- 'part_system_draw_order','part_system_depth','part_system_position', |
7242 |
- 'part_system_automatic_update','part_system_automatic_draw','part_system_update', |
7243 |
- 'part_system_drawit','part_particles_create','part_particles_create_color', |
7244 |
- 'part_particles_clear','part_particles_count', |
7245 |
- 'part_emitter_create','part_emitter_destroy','part_emitter_destroy_all','part_emitter_exists', |
7246 |
- 'part_emitter_clear','part_emitter_region','part_emitter_burst','part_emitter_stream', |
7247 |
- 'part_attractor_create','part_attractor_destroy','part_attractor_destroy_all', |
7248 |
- 'part_attractor_exists','part_attractor_clear','part_attractor_position','part_attractor_force', |
7249 |
- 'part_destroyer_create','part_destroyer_destroy','part_destroyer_destroy_all', |
7250 |
- 'part_destroyer_exists','part_destroyer_clear','part_destroyer_region', |
7251 |
- 'part_deflector_create','part_deflector_destroy','part_deflector_destroy_all', |
7252 |
- 'part_deflector_exists','part_deflector_clear','part_deflector_region','part_deflector_kind', |
7253 |
- 'part_deflector_friction', |
7254 |
- 'part_changer_create','part_changer_destroy','part_changer_destroy_all','part_changer_exists', |
7255 |
- 'part_changer_clear','part_changer_region','part_changer_types','part_changer_kind', |
7256 |
- // Multiplayer games |
7257 |
- 'mplay_init_ipx','mplay_init_tcpip','mplay_init_modem','mplay_init_serial', |
7258 |
- 'mplay_connect_status','mplay_end','mplay_ipaddress', |
7259 |
- 'mplay_session_create','mplay_session_find','mplay_session_name','mplay_session_join', |
7260 |
- 'mplay_session_mode','mplay_session_status','mplay_session_end', |
7261 |
- 'mplay_player_find','mplay_player_name','mplay_player_id', |
7262 |
- 'mplay_data_write','mplay_data_read','mplay_data_mode', |
7263 |
- 'mplay_message_send','mplay_message_send_guaranteed','mplay_message_receive','mplay_message_id', |
7264 |
- 'mplay_message_value','mplay_message_player','mplay_message_name','mplay_message_count', |
7265 |
- 'mplay_message_clear', |
7266 |
- // Using DLL's |
7267 |
- 'external_define','external_call','external_free','window_handle', |
7268 |
- // 3D Graphics |
7269 |
- 'd3d_start','d3d_end','d3d_set_hidden','d3d_set_perspective', |
7270 |
- 'd3d_set_depth', |
7271 |
- 'd3d_primitive_begin','d3d_vertex','d3d_vertex_color','d3d_primitive_end', |
7272 |
- 'd3d_primitive_begin_texture','d3d_vertex_texture','d3d_vertex_texture_color','d3d_set_culling', |
7273 |
- 'd3d_draw_block','d3d_draw_cylinder','d3d_draw_cone','d3d_draw_ellipsoid','d3d_draw_wall', |
7274 |
- 'd3d_draw_floor', |
7275 |
- 'd3d_set_projection','d3d_set_projection_ext','d3d_set_projection_ortho', |
7276 |
- 'd3d_set_projection_perspective', |
7277 |
- 'd3d_transform_set_identity','d3d_transform_set_translation','d3d_transform_set_scaling', |
7278 |
- 'd3d_transform_set_rotation_x','d3d_transform_set_rotation_y','d3d_transform_set_rotation_z', |
7279 |
- 'd3d_transform_set_rotation_axis','d3d_transform_add_translation','d3d_transform_add_scaling', |
7280 |
- 'd3d_transform_add_rotation_x','d3d_transform_add_rotation_y','d3d_transform_add_rotation_z', |
7281 |
- 'd3d_transform_add_rotation_axis','d3d_transform_stack_clear','d3d_transform_stack_empty', |
7282 |
- 'd3d_transform_stack_push','d3d_transform_stack_pop','d3d_transform_stack_top', |
7283 |
- 'd3d_transform_stack_discard', |
7284 |
- 'd3d_set_fog', |
7285 |
- 'd3d_set_lighting','d3d_set_shading','d3d_light_define_direction','d3d_light_define_point', |
7286 |
- 'd3d_light_enable','d3d_vertex_normal','d3d_vertex_normal_color','d3d_vertex_normal_texture', |
7287 |
- 'd3d_vertex_normal_texture_color', |
7288 |
- 'd3d_model_create','d3d_model_destroy','d3d_model_clear','d3d_model_save','d3d_model_load', |
7289 |
- 'd3d_model_draw','d3d_model_primitive_begin','d3d_model_vertex','d3d_model_vertex_color', |
7290 |
- 'd3d_model_vertex_texture','d3d_model_vertex_texture_color','d3d_model_vertex_normal', |
7291 |
- 'd3d_model_vertex_normal_color','d3d_model_vertex_normal_texture', |
7292 |
- 'd3d_model_vertex_normal_texture_color','d3d_model_primitive_end','d3d_model_block', |
7293 |
- 'd3d_model_cylinder','d3d_model_cone','d3d_model_ellipsoid','d3d_model_wall','d3d_model_floor' |
7294 |
+ 'ANSI_CHARSET','ARABIC_CHARSET','BALTIC_CHARSET','CHINESEBIG5_CHARSET','DEFAULT_CHARSET','EASTEUROPE_CHARSET','GB2312_CHARSET','GREEK_CHARSET','HANGEUL_CHARSET','HEBREW_CHARSET','JOHAB_CHARSET', |
7295 |
+ 'MAC_CHARSET','OEM_CHARSET','RUSSIAN_CHARSET','SHIFTJIS_CHARSET','SYMBOL_CHARSET','THAI_CHARSET','TURKISH_CHARSET','VIETNAMESE_CHARSET','achievement_achievement_info','achievement_challenge_completed', |
7296 |
+ 'achievement_challenge_completed_by_remote','achievement_challenge_launched','achievement_challenge_list_received','achievement_challenge_received','achievement_filter_all_players', |
7297 |
+ 'achievement_filter_friends_only','achievement_friends_info','achievement_leaderboard_info','achievement_our_info','achievement_pic_loaded','achievement_player_info','achievement_purchase_info', |
7298 |
+ 'achievement_show_achievement','achievement_show_bank','achievement_show_friend_picker','achievement_show_leaderboard','achievement_show_profile','achievement_show_purchase_prompt','achievement_show_ui', |
7299 |
+ 'achievement_type_achievement_challenge','achievement_type_score_challenge','all','asset_background','asset_font','asset_object','asset_path','asset_room','asset_script','asset_sound','asset_sprite', |
7300 |
+ 'asset_timeline','asset_unknown','audio_falloff_exponent_distance','audio_falloff_exponent_distance_clamped','audio_falloff_inverse_distance','audio_falloff_inverse_distance_clamped', |
7301 |
+ 'audio_falloff_linear_distance','audio_falloff_linear_distance_clamped','audio_falloff_none','audio_new_system','audio_old_system','bm_add','bm_dest_alpha','bm_dest_color','bm_inv_dest_alpha', |
7302 |
+ 'bm_inv_dest_color','bm_inv_src_alpha','bm_inv_src_color','bm_max','bm_normal','bm_one','bm_src_alpha','bm_src_alpha_sat','bm_src_color','bm_subtract','bm_zero','browser_chrome', |
7303 |
+ 'browser_firefox','browser_ie','browser_ie_mobile','browser_not_a_browser','browser_opera','browser_safari','browser_safari_mobile','browser_tizen','browser_unknown','browser_windows_store','buffer_bool', |
7304 |
+ 'buffer_f16','buffer_f32','buffer_f64','buffer_fast','buffer_fixed','buffer_generalerror','buffer_grow','buffer_invalidtype','buffer_outofbounds','buffer_outofspace','buffer_s16','buffer_s32','buffer_s8', |
7305 |
+ 'buffer_seek_end','buffer_seek_relative','buffer_seek_start','buffer_string','buffer_u16','buffer_u32','buffer_u8','buffer_vbuffer','buffer_wrap','button_type','c_aqua','c_black','c_blue','c_dkgray', |
7306 |
+ 'c_fuchsia','c_gray','c_green','c_lime','c_ltgray','c_maroon','c_navy','c_olive','c_orange','c_purple','c_red','c_silver','c_teal','c_white','c_yellow','cr_appstart','cr_arrow','cr_beam','cr_cross', |
7307 |
+ 'cr_default','cr_drag','cr_handpoint','cr_help','cr_hourglass','cr_hsplit','cr_multidrag','cr_no','cr_nodrop','cr_none','cr_size_all','cr_size_nesw','cr_size_ns','cr_size_nwse','cr_size_we','cr_sqlwait', |
7308 |
+ 'cr_uparrow','cr_vsplit','device_emulator','device_ios_ipad','device_ios_ipad_retina','device_ios_iphone','device_ios_iphone5','device_ios_iphone_retina','device_ios_unknown','device_tablet','display_landscape', |
7309 |
+ 'display_landscape_flipped','display_portrait','display_portrait_flipped','dll_cdecl','dll_stdcall','ds_type_grid','ds_type_list','ds_type_map','ds_type_priority','ds_type_queue','ds_type_stack','e','ef_cloud', |
7310 |
+ 'ef_ellipse','ef_explosion','ef_firework','ef_flare','ef_rain','ef_ring','ef_smoke','ef_smokeup','ef_snow','ef_spark','ef_star','ev_alarm','ev_animation_end','ev_boundary','ev_close_button','ev_collision', |
7311 |
+ 'ev_create','ev_destroy','ev_draw','ev_end_of_path','ev_game_end','ev_game_start','ev_global_left_button','ev_global_left_press','ev_global_left_release','ev_global_middle_button','ev_global_middle_press', |
7312 |
+ 'ev_global_middle_release','ev_global_press','ev_global_release','ev_global_right_button','ev_global_right_press','ev_global_right_release','ev_gui','ev_joystick1_button1','ev_joystick1_button2', |
7313 |
+ 'ev_joystick1_button3','ev_joystick1_button4','ev_joystick1_button5','ev_joystick1_button6','ev_joystick1_button7','ev_joystick1_button8','ev_joystick1_down','ev_joystick1_left','ev_joystick1_right', |
7314 |
+ 'ev_joystick1_up','ev_joystick2_button1','ev_joystick2_button2','ev_joystick2_button3','ev_joystick2_button4','ev_joystick2_button5','ev_joystick2_button6','ev_joystick2_button7','ev_joystick2_button8', |
7315 |
+ 'ev_joystick2_down','ev_joystick2_left','ev_joystick2_right','ev_joystick2_up','ev_keyboard','ev_keypress','ev_keyrelease','ev_left_button','ev_left_press','ev_left_release','ev_middle_button', |
7316 |
+ 'ev_middle_press','ev_middle_release','ev_mouse','ev_mouse_enter','ev_mouse_leave','ev_mouse_wheel_down','ev_mouse_wheel_up','ev_no_button','ev_no_more_health','ev_no_more_lives','ev_other','ev_outside', |
7317 |
+ 'ev_right_button','ev_right_press','ev_right_release','ev_room_end','ev_room_start','ev_step','ev_step_begin','ev_step_end','ev_step_normal','ev_trigger','ev_user0','ev_user1','ev_user10','ev_user11', |
7318 |
+ 'ev_user12','ev_user13','ev_user14','ev_user15','ev_user2','ev_user3','ev_user4','ev_user5','ev_user6','ev_user7','ev_user8','ev_user9','fa_archive','fa_bottom','fa_center','fa_directory','fa_hidden', |
7319 |
+ 'fa_left','fa_middle','fa_readonly','fa_right','fa_sysfile','fa_top','fa_volumeid','false','gp_axislh','gp_axislv','gp_axisrh','gp_axisrv','gp_face1','gp_face2','gp_face3','gp_face4','gp_padd', |
7320 |
+ 'gp_padl','gp_padr','gp_padu','gp_select','gp_shoulderl','gp_shoulderlb','gp_shoulderr','gp_shoulderrb','gp_start','gp_stickl','gp_stickr','input_type','lb_disp_none','lb_disp_numeric','lb_disp_time_ms', |
7321 |
+ 'lb_disp_time_sec','lb_sort_ascending','lb_sort_descending','lb_sort_none','leaderboard_type_number','leaderboard_type_time_mins_secs','matrix_projection','matrix_view','matrix_world','mb_any', |
7322 |
+ 'mb_left','mb_middle','mb_none','mb_right','network_socket_bluetooth','network_socket_tcp','network_socket_udp','network_type_connect','network_type_data','network_type_disconnect', |
7323 |
+ 'of_challenge_lose','of_challenge_tie','of_challenge_win','os_android','os_ios','os_linux','os_macosx','os_psp','os_symbian','os_tizen','os_unknown','os_win32','os_win8native','os_windows','os_winphone', |
7324 |
+ 'ov_achievements','ov_community','ov_friends','ov_gamegroup','ov_players','ov_settings','phy_debug_render_aabb','phy_debug_render_collision_pairs','phy_debug_render_coms', |
7325 |
+ 'phy_debug_render_core_shapes','phy_debug_render_joints','phy_debug_render_obb','phy_debug_render_shapes','phy_joint_anchor_1_x','phy_joint_anchor_1_y','phy_joint_anchor_2_x','phy_joint_anchor_2_y', |
7326 |
+ 'phy_joint_angle','phy_joint_angle_limits','phy_joint_damping_ratio','phy_joint_frequency','phy_joint_length_1','phy_joint_length_2','phy_joint_lower_angle_limit','phy_joint_max_motor_force', |
7327 |
+ 'phy_joint_max_motor_torque','phy_joint_motor_force','phy_joint_motor_speed','phy_joint_motor_torque','phy_joint_reaction_force_x','phy_joint_reaction_force_y','phy_joint_reaction_torque','phy_joint_speed', |
7328 |
+ 'phy_joint_translation','phy_joint_upper_angle_limit','pi','pr_linelist','pr_linestrip','pr_pointlist','pr_trianglefan','pr_trianglelist','pr_trianglestrip','ps_change_all','ps_change_motion', |
7329 |
+ 'ps_change_shape','ps_deflect_horizontal','ps_deflect_vertical','ps_distr_gaussian','ps_distr_invgaussian','ps_distr_linear','ps_force_constant','ps_force_linear','ps_force_quadratic','ps_shape_diamond', |
7330 |
+ 'ps_shape_ellipse','ps_shape_line','ps_shape_rectangle','pt_shape_circle','pt_shape_cloud','pt_shape_disk','pt_shape_explosion','pt_shape_flare','pt_shape_line','pt_shape_pixel','pt_shape_ring', |
7331 |
+ 'pt_shape_smoke','pt_shape_snow','pt_shape_spark','pt_shape_sphere','pt_shape_square','pt_shape_star','se_chorus','se_compressor','se_echo','se_equalizer','se_flanger','se_gargle','se_none','se_reverb', |
7332 |
+ 'text_type','true','ty_real','ty_string','vertex_type_colour','vertex_type_float1','vertex_type_float2','vertex_type_float3','vertex_type_float4','vertex_type_ubyte4','vertex_usage_binormal', |
7333 |
+ 'vertex_usage_blendindices','vertex_usage_blendweight','vertex_usage_colour','vertex_usage_depth','vertex_usage_fog','vertex_usage_normal','vertex_usage_position','vertex_usage_psize','vertex_usage_sample', |
7334 |
+ 'vertex_usage_tagnet','vertex_usage_textcoord','vk_add','vk_alt','vk_anykey','vk_backspace','vk_control','vk_decimal','vk_delete','vk_divide','vk_down','vk_end','vk_enter','vk_escape','vk_f1','vk_f10', |
7335 |
+ 'vk_f11','vk_f12','vk_f2','vk_f3','vk_f4','vk_f5','vk_f6','vk_f7','vk_f8','vk_f9','vk_home','vk_insert','vk_lalt','vk_lcontrol','vk_left','vk_lshift','vk_multiply','vk_nokey','vk_numpad0','vk_numpad1', |
7336 |
+ 'vk_numpad2','vk_numpad3','vk_numpad4','vk_numpad5','vk_numpad6','vk_numpad7','vk_numpad8','vk_numpad9','vk_pagedown','vk_pageup','vk_pause','vk_printscreen','vk_ralt','vk_rcontrol','vk_return','vk_right', |
7337 |
+ 'vk_rshift','vk_shift','vk_space','vk_subtract','vk_tab','vk_up' |
7338 |
), |
7339 |
- // constants |
7340 |
+ |
7341 |
+ // Keywords |
7342 |
4 => array( |
7343 |
- 'true', 'false', 'pi', |
7344 |
- 'ev_destroy','ev_step','ev_alarm','ev_keyboard','ev_mouse','ev_collision','ev_other','ev_draw', |
7345 |
- 'ev_keypress','ev_keyrelease','ev_left_button','ev_right_button','ev_middle_button', |
7346 |
- 'ev_no_button','ev_left_press','ev_right_press','ev_middle_press','ev_left_release', |
7347 |
- 'ev_right_release','ev_middle_release','ev_mouse_enter','ev_mouse_leave','ev_mouse_wheel_up', |
7348 |
- 'ev_mouse_wheel_down','ev_global_left_button','ev_global_right_button','ev_global_middle_button', |
7349 |
- 'ev_global_left_press','ev_global_right_press','ev_global_middle_press','ev_global_left_release', |
7350 |
- 'ev_global_right_release','ev_global_middle_release','ev_joystick1_left','ev_joystick1_right', |
7351 |
- 'ev_joystick1_up','ev_joystick1_down','ev_joystick1_button1','ev_joystick1_button2', |
7352 |
- 'ev_joystick1_button3','ev_joystick1_button4','ev_joystick1_button5','ev_joystick1_button6', |
7353 |
- 'ev_joystick1_button7','ev_joystick1_button8','ev_joystick2_left','ev_joystick2_right', |
7354 |
- 'ev_joystick2_up','ev_joystick2_down','ev_joystick2_button1','ev_joystick2_button2', |
7355 |
- 'ev_joystick2_button3','ev_joystick2_button4','ev_joystick2_button5','ev_joystick2_button6', |
7356 |
- 'ev_joystick2_button7','ev_joystick2_button8', |
7357 |
- 'ev_outside','ev_boundary','ev_game_start','ev_game_end','ev_room_start','ev_room_end', |
7358 |
- 'ev_no_more_lives','ev_no_more_health','ev_animation_end','ev_end_of_path','ev_user0','ev_user1', |
7359 |
- 'ev_user2','ev_user3','ev_user4','ev_user5','ev_user6','ev_user7','ev_user8','ev_user9', |
7360 |
- 'ev_user10','ev_user11','ev_user12','ev_user13','ev_user14','ev_user15','ev_step_normal', |
7361 |
- 'ev_step_begin','ev_step_end', |
7362 |
- 'vk_nokey','vk_anykey','vk_left','vk_right','vk_up','vk_down','vk_enter','vk_escape','vk_space', |
7363 |
- 'vk_shift','vk_control','vk_alt','vk_backspace','vk_tab','vk_home','vk_end','vk_delete', |
7364 |
- 'vk_insert','vk_pageup','vk_pagedown','vk_pause','vk_printscreen', |
7365 |
- 'vk_f1','vk_f2','vk_f3','vk_f4','vk_f5','vk_f6','vk_f7','vk_f8','vk_f9','vk_f10','vk_f11','vk_f12', |
7366 |
- 'vk_numpad0','vk_numpad1','vk_numpad2','vk_numpad3','vk_numpad4','vk_numpad5','vk_numpad6', |
7367 |
- 'vk_numpad7','vk_numpad8','vk_numpad9', 'vk_multiply','vk_divide','vk_add','vk_subtract', |
7368 |
- 'vk_decimal','vk_lshift','vk_lcontrol','vk_lalt','vk_rshift','vk_rcontrol','vk_ralt', |
7369 |
- 'c_aqua','c_black','c_blue','c_dkgray','c_fuchsia','c_gray','c_green','c_lime','c_ltgray', |
7370 |
- 'c_maroon','c_navy','c_olive','c_purple','c_red','c_silver','c_teal','c_white','c_yellow', |
7371 |
- 'fa_left', 'fa_center','fa_right','fa_top','fa_middle','fa_bottom', |
7372 |
- 'pr_pointlist','pr_linelist','pr_linestrip','pr_trianglelist','pr_trianglestrip', |
7373 |
- 'pr_trianglefan', |
7374 |
- 'cr_none','cr_arrow','cr_cross','cr_beam','cr_size_nesw','cr_size_ns','cr_size_nwse', |
7375 |
- 'cr_size_we','cr_uparrow','cr_hourglass','cr_drag','cr_nodrop','cr_hsplit','cr_vsplit', |
7376 |
- 'cr_multidrag','cr_sqlwait','cr_no','cr_appstart','cr_help','cr_handpoint','cr_size_all', |
7377 |
- 'se_chorus','se_echo','se_flanger','se_gargle','se_reverb','se_compressor','se_equalizer', |
7378 |
- 'fa_readonly','fa_hidden','fa_sysfile','fa_volumeid','fa_directory','fa_archive', |
7379 |
- 'pt_shape_pixel','pt_shape_disk','pt_shape_square','pt_shape_line','pt_shape_star', |
7380 |
- 'pt_shape_circle','pt_shape_ring','pt_shape_sphere','pt_shape_flare','pt_shape_spark', |
7381 |
- 'pt_shape_explosion','pt_shape_cloud','pt_shape_smoke','pt_shape_snow', |
7382 |
- 'ps_shape_rectangle','ps_shape_ellipse ','ps_shape_diamond','ps_shape_line', |
7383 |
- 'ps_distr_linear','ps_distr_gaussian','ps_force_constant','ps_force_linear','ps_force_quadratic', |
7384 |
- 'ps_deflect_horizontal', 'ps_deflect_vertical', |
7385 |
- 'ps_change_motion','ps_change_shape','ps_change_all' |
7386 |
- ), |
7387 |
+ 'if','while','do','until','exit','break','continue','for','switch','case','default', |
7388 |
+ 'else','then','begin','end','repeat','switch','var','globalvar','with','div','mod', |
7389 |
+ 'self','noone','other','all','global','local','return', |
7390 |
+ 'and','or','xor','not' |
7391 |
+ ) |
7392 |
), |
7393 |
+ |
7394 |
'SYMBOLS' => array( |
7395 |
- '(', ')', '{', '}', '[', ']', |
7396 |
- '&&', '||', '^^', '&', '|', '^', |
7397 |
- '<', '<=', '==', '!=', '>', '>=', '=', |
7398 |
- '<<', '>>', |
7399 |
- '+=', '-=', '*=', '/=', |
7400 |
- '+', '-', '*', '/', |
7401 |
- '!', '~', ',', ';' |
7402 |
- ), |
7403 |
+ // Angled brackets |
7404 |
+ 0 => array('{','}'), |
7405 |
+ // Other brackets |
7406 |
+ 1 => array('(',')','[',']'), |
7407 |
+ // Operators (NOTE: Operators and/or/not/xor are included in the keywords section. These are non-alphanumeric operators |
7408 |
+ 2 => array('&&', '||', '^^', '&', '|', '^', |
7409 |
+ '<', '<=', '==', '!=', '>', '>=', '=', |
7410 |
+ '<<', '>>', |
7411 |
+ '+=', '-=', '*=', '/=', |
7412 |
+ '+', '-', '*', '/', |
7413 |
+ '!', '~', ',', ';' |
7414 |
+ )), |
7415 |
'CASE_SENSITIVE' => array( |
7416 |
GESHI_COMMENTS => false, |
7417 |
1 => true, |
7418 |
@@ -452,33 +320,28 @@ $language_data = array ( |
7419 |
), |
7420 |
'STYLES' => array( |
7421 |
'KEYWORDS' => array( |
7422 |
- 1 => 'font-weight: bold; color: #000000;', |
7423 |
- 2 => 'font-weight: bold; color: #000000;', |
7424 |
- 3 => 'color: navy;', |
7425 |
- 4 => 'color: #663300;', |
7426 |
- ), |
7427 |
+ 1 => 'color: #800000;', |
7428 |
+ 2 => 'color: #800000;', |
7429 |
+ 3 => 'color: #800000;', |
7430 |
+ 4 => 'color: #000080; font-weight: bold;'), |
7431 |
'COMMENTS' => array( |
7432 |
- 1 => 'font-style: italic; color: green;', |
7433 |
- 'MULTI' => 'font-style: italic; color: green;' |
7434 |
- ), |
7435 |
+ 1 => 'font-style: italic; color: #008000;', |
7436 |
+ 'MULTI' => 'font-style: italic; color: #008000;'), |
7437 |
'ESCAPE_CHAR' => array( |
7438 |
- 0 => 'color: #000099; font-weight: bold;' |
7439 |
- ), |
7440 |
+ 0 => ''), |
7441 |
'BRACKETS' => array( |
7442 |
- 0 => 'color: #000000;' //'color: #66cc66;' |
7443 |
- ), |
7444 |
+ 0 => 'color: #000080;'), |
7445 |
'STRINGS' => array( |
7446 |
- 0 => 'color: #ff0000;' |
7447 |
- ), |
7448 |
+ 0 => 'color: #0000FF;', |
7449 |
+ 1 => 'color: #0000FF;'), |
7450 |
'NUMBERS' => array( |
7451 |
- 0 => 'color: #cc66cc;' |
7452 |
- ), |
7453 |
+ 0 => 'color: #0000FF;'), |
7454 |
'METHODS' => array( |
7455 |
- 1 => 'color: #202020;' |
7456 |
- ), |
7457 |
+ 0 => 'color: #800000;'), |
7458 |
'SYMBOLS' => array( |
7459 |
- 0 => 'color: #66cc66; font-weight: bold;' |
7460 |
- ), |
7461 |
+ 0 => 'color: #000080; font-weight: bold;', |
7462 |
+ 1 => 'color: #000000;', |
7463 |
+ 2 => 'color: #000000;'), |
7464 |
'REGEXPS' => array( |
7465 |
), |
7466 |
'SCRIPT' => array( |
7467 |
@@ -502,5 +365,3 @@ $language_data = array ( |
7468 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
7469 |
) |
7470 |
); |
7471 |
- |
7472 |
-?> |
7473 |
|
7474 |
diff --git a/plugins/wp-syntax/geshi/geshi/gnuplot.php b/plugins/wp-syntax/geshi/geshi/gnuplot.php |
7475 |
index d8445ea..27a2a63 100644 |
7476 |
--- a/plugins/wp-syntax/geshi/geshi/gnuplot.php |
7477 |
+++ b/plugins/wp-syntax/geshi/geshi/gnuplot.php |
7478 |
@@ -4,7 +4,7 @@ |
7479 |
* ---------- |
7480 |
* Author: Milian Wolff (mail@×××××××.de) |
7481 |
* Copyright: (c) 2008 Milian Wolff (http://milianw.de) |
7482 |
- * Release Version: 1.0.8.11 |
7483 |
+ * Release Version: 1.0.8.12 |
7484 |
* Date Started: 2008/07/07 |
7485 |
* |
7486 |
* Gnuplot script language file for GeSHi. |
7487 |
@@ -292,5 +292,3 @@ $language_data = array ( |
7488 |
), |
7489 |
'TAB_WIDTH' => 4 |
7490 |
); |
7491 |
- |
7492 |
-?> |
7493 |
\ No newline at end of file |
7494 |
|
7495 |
diff --git a/plugins/wp-syntax/geshi/geshi/go.php b/plugins/wp-syntax/geshi/geshi/go.php |
7496 |
index 5b7a47d..7f1b825 100644 |
7497 |
--- a/plugins/wp-syntax/geshi/geshi/go.php |
7498 |
+++ b/plugins/wp-syntax/geshi/geshi/go.php |
7499 |
@@ -4,7 +4,7 @@ |
7500 |
* -------- |
7501 |
* Author: Markus Jarderot (mizardx at gmail dot com) |
7502 |
* Copyright: (c) 2010 Markus Jarderot |
7503 |
- * Release Version: 1.0.8.11 |
7504 |
+ * Release Version: 1.0.8.12 |
7505 |
* Date Started: 2010/05/20 |
7506 |
* |
7507 |
* Go language file for GeSHi. |
7508 |
@@ -321,7 +321,7 @@ $language_data = array( |
7509 |
), |
7510 |
'STRINGS' => array( |
7511 |
0 => 'color: #0000ff;', |
7512 |
- 0 => 'color: #cc66cc;' |
7513 |
+ 0 => 'color: #cc66cc;' // FIXME: Duplicate array key |
7514 |
), |
7515 |
'NUMBERS' => array( |
7516 |
0 => 'color: #cc66cc;' |
7517 |
@@ -371,5 +371,3 @@ $language_data = array( |
7518 |
) |
7519 |
) |
7520 |
); |
7521 |
- |
7522 |
-?> |
7523 |
\ No newline at end of file |
7524 |
|
7525 |
diff --git a/plugins/wp-syntax/geshi/geshi/groovy.php b/plugins/wp-syntax/geshi/geshi/groovy.php |
7526 |
index 45290d2..37fc817 100644 |
7527 |
--- a/plugins/wp-syntax/geshi/geshi/groovy.php |
7528 |
+++ b/plugins/wp-syntax/geshi/geshi/groovy.php |
7529 |
@@ -4,7 +4,7 @@ |
7530 |
* ---------- |
7531 |
* Author: Ivan F. Villanueva B. (geshi_groovy@××××××××××××××.com) |
7532 |
* Copyright: (c) 2006 Ivan F. Villanueva B.(http://www.artificialidea.com) |
7533 |
- * Release Version: 1.0.8.11 |
7534 |
+ * Release Version: 1.0.8.12 |
7535 |
* Date Started: 2006/04/29 |
7536 |
* |
7537 |
* Groovy language file for GeSHi. |
7538 |
@@ -1007,5 +1007,3 @@ $language_data = array ( |
7539 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
7540 |
) |
7541 |
); |
7542 |
- |
7543 |
-?> |
7544 |
\ No newline at end of file |
7545 |
|
7546 |
diff --git a/plugins/wp-syntax/geshi/geshi/gwbasic.php b/plugins/wp-syntax/geshi/geshi/gwbasic.php |
7547 |
index ecc1634..97310df 100644 |
7548 |
--- a/plugins/wp-syntax/geshi/geshi/gwbasic.php |
7549 |
+++ b/plugins/wp-syntax/geshi/geshi/gwbasic.php |
7550 |
@@ -4,7 +4,7 @@ |
7551 |
* ---------- |
7552 |
* Author: José Gabriel Moya Yangüela (josemoya@×××××.com) |
7553 |
* Copyright: (c) 2010 José Gabriel Moya Yangüela (http://doc.apagada.com) |
7554 |
- * Release Version: 1.0.8.11 |
7555 |
+ * Release Version: 1.0.8.12 |
7556 |
* Date Started: 2010/01/30 |
7557 |
* |
7558 |
* GwBasic language file for GeSHi. |
7559 |
@@ -149,5 +149,3 @@ $language_data = array ( |
7560 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
7561 |
) |
7562 |
); |
7563 |
- |
7564 |
-?> |
7565 |
\ No newline at end of file |
7566 |
|
7567 |
diff --git a/plugins/wp-syntax/geshi/geshi/haskell.php b/plugins/wp-syntax/geshi/geshi/haskell.php |
7568 |
index adae111..50844f1 100644 |
7569 |
--- a/plugins/wp-syntax/geshi/geshi/haskell.php |
7570 |
+++ b/plugins/wp-syntax/geshi/geshi/haskell.php |
7571 |
@@ -2,21 +2,15 @@ |
7572 |
/************************************************************************************* |
7573 |
* haskell.php |
7574 |
* ---------- |
7575 |
- * Author: Jason Dagit (dagit@××××××××××.com) based on ocaml.php by Flaie (fireflaie@×××××.com) |
7576 |
+ * Author: Daniel Mlot (duplode_1 at yahoo dot com dot br) |
7577 |
+ * Based on haskell.php by Jason Dagit (dagit@××××××××××.com), which was |
7578 |
+ * based on ocaml.php by Flaie (fireflaie@×××××.com). |
7579 |
* Copyright: (c) 2005 Flaie, Nigel McNie (http://qbnz.com/highlighter) |
7580 |
- * Release Version: 1.0.8.11 |
7581 |
- * Date Started: 2005/08/27 |
7582 |
+ * Release Version: 1.0.8.12 |
7583 |
+ * Date Started: 2014/05/12 |
7584 |
* |
7585 |
* Haskell language file for GeSHi. |
7586 |
* |
7587 |
- * CHANGES |
7588 |
- * ------- |
7589 |
- * 2005/08/27 (1.0.0) |
7590 |
- * - First Release |
7591 |
- * |
7592 |
- * TODO (updated 2005/08/27) |
7593 |
- * ------------------------- |
7594 |
- * |
7595 |
************************************************************************************* |
7596 |
* |
7597 |
* This file is part of GeSHi. |
7598 |
@@ -46,7 +40,7 @@ $language_data = array ( |
7599 |
3 => "/{-(?:(?R)|.)-}/s", //Nested Comments |
7600 |
), |
7601 |
'CASE_KEYWORDS' => 0, |
7602 |
- 'QUOTEMARKS' => array('"',"'"), |
7603 |
+ 'QUOTEMARKS' => array('"'), |
7604 |
'ESCAPE_CHAR' => '\\', |
7605 |
'KEYWORDS' => array( |
7606 |
/* main haskell keywords */ |
7607 |
@@ -58,7 +52,7 @@ $language_data = array ( |
7608 |
'instance', 'let', 'in', 'module', 'newtype', |
7609 |
'qualified', 'type', 'where' |
7610 |
), |
7611 |
- /* define names of main librarys, so we can link to it */ |
7612 |
+ /* define names of main libraries, so we can link to it */ |
7613 |
2 => array( |
7614 |
'Foreign', 'Numeric', 'Prelude' |
7615 |
), |
7616 |
@@ -107,35 +101,34 @@ $language_data = array ( |
7617 |
'interact', 'readFile', 'writeFile', 'appendFile', |
7618 |
'readIO', 'readLn', 'ioError', 'userError', 'catch' |
7619 |
), |
7620 |
- /* here Prelude Types */ |
7621 |
+ /* Prelude types */ |
7622 |
4 => array ( |
7623 |
- 'Bool', 'Maybe', 'Either', 'Ord', 'Ordering', |
7624 |
- 'Char', 'String', 'Eq', 'Enum', 'Bounded', |
7625 |
+ 'Bool', 'Maybe', 'Either', 'Ordering', |
7626 |
+ 'Char', 'String', |
7627 |
'Int', 'Integer', 'Float', 'Double', 'Rational', |
7628 |
- 'Num', 'Real', 'Integral', 'Fractional', |
7629 |
- 'Floating', 'RealFrac', 'RealFloat', 'Monad', |
7630 |
- 'Functor', 'Show', 'ShowS', 'Read', 'ReadS', |
7631 |
- 'IO' |
7632 |
+ 'ShowS', 'ReadS', |
7633 |
+ 'IO', 'IOError', 'IOException' |
7634 |
), |
7635 |
- /* finally Prelude Exceptions */ |
7636 |
+ /* Prelude classes */ |
7637 |
5 => array ( |
7638 |
- 'IOError', 'IOException' |
7639 |
+ 'Ord', 'Eq', 'Enum', 'Bounded', |
7640 |
+ 'Num', 'Real', 'Integral', 'Fractional', |
7641 |
+ 'Floating', 'RealFrac', 'RealFloat', |
7642 |
+ 'Monad', 'Functor', |
7643 |
+ 'Show', 'Read' |
7644 |
) |
7645 |
), |
7646 |
- /* highlighting symbols is really important in Haskell */ |
7647 |
+ /* Most symbol combinations can be valid Haskell operators */ |
7648 |
'SYMBOLS' => array( |
7649 |
- '|', '->', '<-', '@', '!', '::', '_', '~', '=', '?', |
7650 |
- '&&', '||', '==', '/=', '<', '<=', '>', |
7651 |
- '>=','+', '-', '*','/', '%', '**', '^', '^^', |
7652 |
- '>>=', '>>', '=<<', '$', '.', ',', '$!', |
7653 |
- '++', '!!' |
7654 |
+ '!', '@', '#', '$', '%', '&', '*', '-', '+', '=', |
7655 |
+ '^', '~', '|', '\\', '>', '<', ':', '?', '/' |
7656 |
), |
7657 |
'CASE_SENSITIVE' => array( |
7658 |
GESHI_COMMENTS => false, |
7659 |
- 1 => true, |
7660 |
- 2 => true, /* functions name are case seinsitive */ |
7661 |
- 3 => true, /* types name too */ |
7662 |
- 4 => true, /* finally exceptions too */ |
7663 |
+ 1 => true, /* Haskell is a case sensitive language */ |
7664 |
+ 2 => true, |
7665 |
+ 3 => true, |
7666 |
+ 4 => true, |
7667 |
5 => true |
7668 |
), |
7669 |
'STYLES' => array( |
7670 |
@@ -144,7 +137,7 @@ $language_data = array ( |
7671 |
2 => 'color: #06c; font-weight: bold;', /* blue as well */ |
7672 |
3 => 'font-weight: bold;', /* make the preduled functions bold */ |
7673 |
4 => 'color: #cccc00; font-weight: bold;', /* give types a different bg */ |
7674 |
- 5 => 'color: maroon;' |
7675 |
+ 5 => 'color: maroon; font-weight: bold;' /* similarly for classes */ |
7676 |
), |
7677 |
'COMMENTS' => array( |
7678 |
1 => 'color: #5d478b; font-style: italic;', |
7679 |
@@ -159,7 +152,7 @@ $language_data = array ( |
7680 |
0 => 'color: green;' |
7681 |
), |
7682 |
'STRINGS' => array( |
7683 |
- 0 => 'background-color: #3cb371;' /* nice green */ |
7684 |
+ 0 => 'color: #3cb371;' /* nice green */ |
7685 |
), |
7686 |
'NUMBERS' => array( |
7687 |
0 => 'color: red;' /* pink */ |
7688 |
@@ -185,7 +178,7 @@ $language_data = array ( |
7689 |
/* link to Prelude types */ |
7690 |
4 => 'http://haskell.org/ghc/docs/latest/html/libraries/base/Prelude.html#t:{FNAME}', |
7691 |
/* link to Prelude exceptions */ |
7692 |
- 5 => 'http://haskell.org/ghc/docs/latest/html/libraries/base/Prelude.html#t:{FNAME}', |
7693 |
+ 5 => 'http://haskell.org/ghc/docs/latest/html/libraries/base/Prelude.html#t:{FNAME}' |
7694 |
), |
7695 |
'OOLANG' => false, |
7696 |
'OBJECT_SPLITTERS' => array( |
7697 |
@@ -198,5 +191,3 @@ $language_data = array ( |
7698 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
7699 |
) |
7700 |
); |
7701 |
- |
7702 |
-?> |
7703 |
\ No newline at end of file |
7704 |
|
7705 |
diff --git a/plugins/wp-syntax/geshi/geshi/haxe.php b/plugins/wp-syntax/geshi/geshi/haxe.php |
7706 |
index 778637e..17f8394 100644 |
7707 |
--- a/plugins/wp-syntax/geshi/geshi/haxe.php |
7708 |
+++ b/plugins/wp-syntax/geshi/geshi/haxe.php |
7709 |
@@ -6,7 +6,7 @@ |
7710 |
* John Liao (colorhook@×××××.com) |
7711 |
* Copyright: (c) 2012 onthewings (http://www.onthewings.net/) |
7712 |
* 2010 colorhook (http://colorhook.com/) |
7713 |
- * Release Version: 1.0.8.11 |
7714 |
+ * Release Version: 1.0.8.12 |
7715 |
* Date Started: 2010/10/05 |
7716 |
* |
7717 |
* Haxe language file for GeSHi. |
7718 |
@@ -111,7 +111,6 @@ $language_data = array ( |
7719 |
1 => 'color: #666666; font-style: italic;', |
7720 |
2 => 'color: #006699;', |
7721 |
3 => 'color: #008000; font-style: italic; font-weight: bold;', |
7722 |
- 3 => 'color: #008000; font-style: italic; font-weight: bold;', |
7723 |
'MULTI' => 'color: #666666; font-style: italic;', |
7724 |
), |
7725 |
'ESCAPE_CHAR' => array( |
7726 |
@@ -157,5 +156,3 @@ $language_data = array ( |
7727 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
7728 |
), |
7729 |
); |
7730 |
- |
7731 |
-?> |
7732 |
\ No newline at end of file |
7733 |
|
7734 |
diff --git a/plugins/wp-syntax/geshi/geshi/hicest.php b/plugins/wp-syntax/geshi/geshi/hicest.php |
7735 |
index 78a2bc2..cc2c017 100644 |
7736 |
--- a/plugins/wp-syntax/geshi/geshi/hicest.php |
7737 |
+++ b/plugins/wp-syntax/geshi/geshi/hicest.php |
7738 |
@@ -4,7 +4,7 @@ |
7739 |
* -------- |
7740 |
* Author: Georg Petrich (spt@××××××.com) |
7741 |
* Copyright: (c) 2010 Georg Petrich (http://www.HicEst.com) |
7742 |
- * Release Version: 1.0.8.11 |
7743 |
+ * Release Version: 1.0.8.12 |
7744 |
* Date Started: 2010/03/15 |
7745 |
* |
7746 |
* HicEst language file for GeSHi. |
7747 |
@@ -104,5 +104,3 @@ $language_data = array( |
7748 |
'SCRIPT_DELIMITERS' => array(), |
7749 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
7750 |
); |
7751 |
- |
7752 |
-?> |
7753 |
\ No newline at end of file |
7754 |
|
7755 |
diff --git a/plugins/wp-syntax/geshi/geshi/hq9plus.php b/plugins/wp-syntax/geshi/geshi/hq9plus.php |
7756 |
index 7ba1a73..bb461b1 100644 |
7757 |
--- a/plugins/wp-syntax/geshi/geshi/hq9plus.php |
7758 |
+++ b/plugins/wp-syntax/geshi/geshi/hq9plus.php |
7759 |
@@ -4,7 +4,7 @@ |
7760 |
* ---------- |
7761 |
* Author: Benny Baumann (BenBE@×××××.org) |
7762 |
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/) |
7763 |
- * Release Version: 1.0.8.11 |
7764 |
+ * Release Version: 1.0.8.12 |
7765 |
* Date Started: 2009/10/31 |
7766 |
* |
7767 |
* HQ9+ language file for GeSHi. |
7768 |
@@ -100,5 +100,3 @@ $language_data = array ( |
7769 |
) |
7770 |
) |
7771 |
); |
7772 |
- |
7773 |
-?> |
7774 |
|
7775 |
diff --git a/plugins/wp-syntax/geshi/geshi/html4strict.php b/plugins/wp-syntax/geshi/geshi/html4strict.php |
7776 |
index 97392fa..1f01f68 100644 |
7777 |
--- a/plugins/wp-syntax/geshi/geshi/html4strict.php |
7778 |
+++ b/plugins/wp-syntax/geshi/geshi/html4strict.php |
7779 |
@@ -4,7 +4,7 @@ |
7780 |
* --------------- |
7781 |
* Author: Nigel McNie (nigel@×××××.org) |
7782 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
7783 |
- * Release Version: 1.0.8.11 |
7784 |
+ * Release Version: 1.0.8.12 |
7785 |
* Date Started: 2004/07/10 |
7786 |
* |
7787 |
* HTML 4.01 strict language file for GeSHi. |
7788 |
@@ -186,5 +186,3 @@ $language_data = array ( |
7789 |
) |
7790 |
) |
7791 |
); |
7792 |
- |
7793 |
-?> |
7794 |
\ No newline at end of file |
7795 |
|
7796 |
diff --git a/plugins/wp-syntax/geshi/geshi/html5.php b/plugins/wp-syntax/geshi/geshi/html5.php |
7797 |
index 0d97559..04fb820 100644 |
7798 |
--- a/plugins/wp-syntax/geshi/geshi/html5.php |
7799 |
+++ b/plugins/wp-syntax/geshi/geshi/html5.php |
7800 |
@@ -4,7 +4,7 @@ |
7801 |
* --------------- |
7802 |
* Author: Nigel McNie (nigel@×××××.org) |
7803 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
7804 |
- * Release Version: 1.0.8.11 |
7805 |
+ * Release Version: 1.0.8.12 |
7806 |
* Date Started: 2004/07/10 |
7807 |
* |
7808 |
* HTML 5 language file for GeSHi. |
7809 |
@@ -208,5 +208,3 @@ $language_data = array ( |
7810 |
) |
7811 |
) |
7812 |
); |
7813 |
- |
7814 |
-?> |
7815 |
\ No newline at end of file |
7816 |
|
7817 |
diff --git a/plugins/wp-syntax/geshi/geshi/icon.php b/plugins/wp-syntax/geshi/geshi/icon.php |
7818 |
index 06383ea..eddc88b 100644 |
7819 |
--- a/plugins/wp-syntax/geshi/geshi/icon.php |
7820 |
+++ b/plugins/wp-syntax/geshi/geshi/icon.php |
7821 |
@@ -4,7 +4,7 @@ |
7822 |
* -------- |
7823 |
* Author: Matt Oates (mattoates@×××××.com) |
7824 |
* Copyright: (c) 2010 Matt Oates (http://mattoates.co.uk) |
7825 |
- * Release Version: 1.0.8.11 |
7826 |
+ * Release Version: 1.0.8.12 |
7827 |
* Date Started: 2010/04/24 |
7828 |
* |
7829 |
* Icon language file for GeSHi. |
7830 |
@@ -208,5 +208,3 @@ $language_data = array( |
7831 |
) |
7832 |
) |
7833 |
); |
7834 |
- |
7835 |
-?> |
7836 |
\ No newline at end of file |
7837 |
|
7838 |
diff --git a/plugins/wp-syntax/geshi/geshi/idl.php b/plugins/wp-syntax/geshi/geshi/idl.php |
7839 |
index 69bd14f..0bdeb9c 100644 |
7840 |
--- a/plugins/wp-syntax/geshi/geshi/idl.php |
7841 |
+++ b/plugins/wp-syntax/geshi/geshi/idl.php |
7842 |
@@ -4,7 +4,7 @@ |
7843 |
* ------- |
7844 |
* Author: Cedric Bosdonnat (cedricbosdo@××××××××××.org) |
7845 |
* Copyright: (c) 2006 Cedric Bosdonnat |
7846 |
- * Release Version: 1.0.8.11 |
7847 |
+ * Release Version: 1.0.8.12 |
7848 |
* Date Started: 2006/08/20 |
7849 |
* |
7850 |
* Unoidl language file for GeSHi. |
7851 |
@@ -119,5 +119,3 @@ $language_data = array ( |
7852 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
7853 |
) |
7854 |
); |
7855 |
- |
7856 |
-?> |
7857 |
|
7858 |
diff --git a/plugins/wp-syntax/geshi/geshi/ini.php b/plugins/wp-syntax/geshi/geshi/ini.php |
7859 |
index 8e6ca76..914ebdf 100644 |
7860 |
--- a/plugins/wp-syntax/geshi/geshi/ini.php |
7861 |
+++ b/plugins/wp-syntax/geshi/geshi/ini.php |
7862 |
@@ -4,7 +4,7 @@ |
7863 |
* -------- |
7864 |
* Author: deguix (cevo_deguix@×××××××××.br) |
7865 |
* Copyright: (c) 2005 deguix |
7866 |
- * Release Version: 1.0.8.11 |
7867 |
+ * Release Version: 1.0.8.12 |
7868 |
* Date Started: 2005/03/27 |
7869 |
* |
7870 |
* INI language file for GeSHi. |
7871 |
@@ -44,8 +44,9 @@ |
7872 |
|
7873 |
$language_data = array ( |
7874 |
'LANG_NAME' => 'INI', |
7875 |
- 'COMMENT_SINGLE' => array(0 => ';'), |
7876 |
+ 'COMMENT_SINGLE' => array(), |
7877 |
'COMMENT_MULTI' => array(), |
7878 |
+ 'COMMENT_REGEXP' => array(0 => '/^\s*;.*?$/m'), |
7879 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
7880 |
'QUOTEMARKS' => array('"'), |
7881 |
'ESCAPE_CHAR' => '', |
7882 |
@@ -124,5 +125,3 @@ $language_data = array ( |
7883 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
7884 |
) |
7885 |
); |
7886 |
- |
7887 |
-?> |
7888 |
|
7889 |
diff --git a/plugins/wp-syntax/geshi/geshi/inno.php b/plugins/wp-syntax/geshi/geshi/inno.php |
7890 |
index 1e2ee8b..5fde614 100644 |
7891 |
--- a/plugins/wp-syntax/geshi/geshi/inno.php |
7892 |
+++ b/plugins/wp-syntax/geshi/geshi/inno.php |
7893 |
@@ -4,7 +4,7 @@ |
7894 |
* ---------- |
7895 |
* Author: Thomas Klingler (hotline@×××××××××.de) based on delphi.php from J�rja Norbert (jnorbi@×××××××.hu) |
7896 |
* Copyright: (c) 2004 J�rja Norbert, Nigel McNie (http://qbnz.com/highlighter) |
7897 |
- * Release Version: 1.0.8.11 |
7898 |
+ * Release Version: 1.0.8.12 |
7899 |
* Date Started: 2005/07/29 |
7900 |
* |
7901 |
* Inno Script language inkl. Delphi (Object Pascal) language file for GeSHi. |
7902 |
@@ -208,5 +208,3 @@ $language_data = array ( |
7903 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
7904 |
) |
7905 |
); |
7906 |
- |
7907 |
-?> |
7908 |
|
7909 |
diff --git a/plugins/wp-syntax/geshi/geshi/intercal.php b/plugins/wp-syntax/geshi/geshi/intercal.php |
7910 |
index 3c81b81..c68b979 100644 |
7911 |
--- a/plugins/wp-syntax/geshi/geshi/intercal.php |
7912 |
+++ b/plugins/wp-syntax/geshi/geshi/intercal.php |
7913 |
@@ -4,7 +4,7 @@ |
7914 |
* ---------- |
7915 |
* Author: Benny Baumann (BenBE@×××××.org) |
7916 |
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/) |
7917 |
- * Release Version: 1.0.8.11 |
7918 |
+ * Release Version: 1.0.8.12 |
7919 |
* Date Started: 2009/10/31 |
7920 |
* |
7921 |
* INTERCAL language file for GeSHi. |
7922 |
@@ -118,5 +118,3 @@ $language_data = array ( |
7923 |
) |
7924 |
) |
7925 |
); |
7926 |
- |
7927 |
-?> |
7928 |
\ No newline at end of file |
7929 |
|
7930 |
diff --git a/plugins/wp-syntax/geshi/geshi/io.php b/plugins/wp-syntax/geshi/geshi/io.php |
7931 |
index 51fad43..b57ab85 100644 |
7932 |
--- a/plugins/wp-syntax/geshi/geshi/io.php |
7933 |
+++ b/plugins/wp-syntax/geshi/geshi/io.php |
7934 |
@@ -4,7 +4,7 @@ |
7935 |
* ------- |
7936 |
* Author: Nigel McNie (nigel@×××××.org) |
7937 |
* Copyright: (c) 2006 Nigel McNie (http://qbnz.com/highlighter/) |
7938 |
- * Release Version: 1.0.8.11 |
7939 |
+ * Release Version: 1.0.8.12 |
7940 |
* Date Started: 2006/09/23 |
7941 |
* |
7942 |
* Io language file for GeSHi. Thanks to Johnathan Wright for the suggestion and help |
7943 |
@@ -134,5 +134,3 @@ $language_data = array ( |
7944 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
7945 |
) |
7946 |
); |
7947 |
- |
7948 |
-?> |
7949 |
|
7950 |
diff --git a/plugins/wp-syntax/geshi/geshi/ispfpanel.php b/plugins/wp-syntax/geshi/geshi/ispfpanel.php |
7951 |
new file mode 100644 |
7952 |
index 0000000..a0b0541 |
7953 |
--- /dev/null |
7954 |
+++ b/plugins/wp-syntax/geshi/geshi/ispfpanel.php |
7955 |
@@ -0,0 +1,165 @@ |
7956 |
+<?php |
7957 |
+/************************************************************************************* |
7958 |
+ * ispfpanel.php |
7959 |
+ * ------------- |
7960 |
+ * Author: Ramesh Vishveshwar (ramesh.vishveshwar@×××××.com) |
7961 |
+ * Copyright: (c) 2012 Ramesh Vishveshwar (http://thecodeisclear.in) |
7962 |
+ * Release Version: 1.0.8.12 |
7963 |
+ * Date Started: 2012/09/18 |
7964 |
+ * |
7965 |
+ * ISPF Panel Definition (MVS) language file for GeSHi. |
7966 |
+ * |
7967 |
+ * CHANGES |
7968 |
+ * ------- |
7969 |
+ * 2011/09/22 (1.0.0) |
7970 |
+ * - First Release |
7971 |
+ * |
7972 |
+ * |
7973 |
+ ************************************************************************************* |
7974 |
+ * |
7975 |
+ * This file is part of GeSHi. |
7976 |
+ * |
7977 |
+ * GeSHi is free software; you can redistribute it and/or modify |
7978 |
+ * it under the terms of the GNU General Public License as published by |
7979 |
+ * the Free Software Foundation; either version 2 of the License, or |
7980 |
+ * (at your option) any later version. |
7981 |
+ * |
7982 |
+ * GeSHi is distributed in the hope that it will be useful, |
7983 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
7984 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
7985 |
+ * GNU General Public License for more details. |
7986 |
+ * |
7987 |
+ * You should have received a copy of the GNU General Public License |
7988 |
+ * along with GeSHi; if not, write to the Free Software |
7989 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
7990 |
+ * |
7991 |
+ ************************************************************************************/ |
7992 |
+ |
7993 |
+$language_data = array ( |
7994 |
+ 'LANG_NAME' => 'ISPF Panel', |
7995 |
+ 'COMMENT_SINGLE' => array(), |
7996 |
+ 'COMMENT_MULTI' => array('/*' => '*/'), |
7997 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER, |
7998 |
+ 'QUOTEMARKS' => array("'", '"'), |
7999 |
+ 'ESCAPE_CHAR' => '', |
8000 |
+ 'KEYWORDS' => array( |
8001 |
+ // Panel Definition Statements |
8002 |
+ 1 => array( |
8003 |
+ ')CCSID',')PANEL',')ATTR',')ABC',')ABCINIT',')ABCPROC',')BODY',')MODEL', |
8004 |
+ ')AREA',')INIT',')REINIT',')PROC',')FIELD',')HELP',')LIST',')PNTS',')END' |
8005 |
+ ), |
8006 |
+ // File-Tailoring Skeletons |
8007 |
+ 2 => array ( |
8008 |
+ ')DEFAULT',')BLANK', ')CM', ')DO', ')DOT', ')ELSE', ')ENDSEL', |
8009 |
+ ')ENDDO', ')ENDDOT', ')IF', ')IM', ')ITERATE', ')LEAVE', ')NOP', ')SEL', |
8010 |
+ ')SET', ')TB', ')TBA' |
8011 |
+ ), |
8012 |
+ // Control Variables |
8013 |
+ 3 => array ( |
8014 |
+ '.ALARM','.ATTR','.ATTRCHAR','.AUTOSEL','.CSRPOS','.CSRROW','.CURSOR','.HELP', |
8015 |
+ '.HHELP','.KANA','.MSG','.NRET','.PFKEY','.RESP','.TRAIL','.ZVARS' |
8016 |
+ ), |
8017 |
+ // Keywords |
8018 |
+ 4 => array ( |
8019 |
+ 'WINDOW','ALARM','ATTN','BARRIER','HILITE','CAPS', |
8020 |
+ 'CKBOX','CLEAR','CMD','COLOR','COMBO','CSRGRP','CUADYN', |
8021 |
+ 'SKIP','INTENS','AREA','EXTEND', |
8022 |
+ 'DESC','ASIS','VGET','VPUT','JUST','BATSCRD','BATSCRW', |
8023 |
+ 'BDBCS','BDISPMAX','BIT','BKGRND','BREDIMAX','PAD','PADC', |
8024 |
+ 'PAS','CHINESES','CHINESET','DANISH','DATAMOD','DDLIST', |
8025 |
+ 'DEPTH','DUMP','ENGLISH','ERROR','EXIT','EXPAND','FIELD', |
8026 |
+ 'FORMAT','FRENCH','GE','GERMAN','IMAGE','IND','TYPE', |
8027 |
+ 'ITALIAN','JAPANESE','KOREAN','LCOL','LEN','LIND','LISTBOX', |
8028 |
+ 'MODE','NEST','NOJUMP','NOKANA','NUMERIC','OUTLINE','PARM', |
8029 |
+ 'PGM','PORTUGESE','RADIO','RCOL','REP','RIND','ROWS', |
8030 |
+ 'SCALE','SCROLL','SFIHDR','SGERMAN','SIND','SPANISH', |
8031 |
+ 'UPPERENG','WIDTH' |
8032 |
+ ), |
8033 |
+ // Parameters |
8034 |
+ 5 => array ( |
8035 |
+ 'ADDPOP','ALPHA','ALPHAB','DYNAMIC','SCRL', |
8036 |
+ 'CCSID','COMMAND','DSNAME','DSNAMEF','DSNAMEFM', |
8037 |
+ 'DSNAMEPQ','DSNAMEQ','EBCDIC','ENBLDUMP','ENUM',// 'EXTEND', |
8038 |
+ 'FI','FILEID','FRAME','GUI','GUISCRD','GUISCRW','HEX', |
8039 |
+ 'HIGH','IDATE','IN','INCLUDE','INPUT','ITIME','JDATE', |
8040 |
+ 'JSTD','KEYLIST','LANG','LEFT','LIST','LISTV','LISTVX', |
8041 |
+ 'LISTX','LMSG','LOGO','LOW','MIX','NAME','NAMEF','NB', |
8042 |
+ 'NEWAPPL','NEWPOOL','NOCHECK','NOLOGO','NON','NONBLANK', |
8043 |
+ 'NULLS','NUM','OFF','ON','OPT','OUT','OUTPUT','PANEL', |
8044 |
+ /* 'PGM',*/'PICT','PICTN','POSITION','TBDISPL','PROFILE', |
8045 |
+ 'QUERY','RANGE','REVERSE','RIGHT','SHARED','SMSG', |
8046 |
+ 'STDDATE','STDTIME','TERMSTAT','TERMTRAC','TEST', |
8047 |
+ 'TESTX','TEXT','TRACE','TRACEX','USCORE','USER', |
8048 |
+ 'USERMOD','WSCMD','WSCMDV' |
8049 |
+ ), |
8050 |
+ ), |
8051 |
+ 'SYMBOLS' => array( |
8052 |
+ '(',')','=','&',',','*','#','+','&','%','_','-','@','!' |
8053 |
+ ), |
8054 |
+ 'CASE_SENSITIVE' => array( |
8055 |
+ GESHI_COMMENTS => false, |
8056 |
+ 1 => false, |
8057 |
+ 2 => false, |
8058 |
+ 3 => false, |
8059 |
+ 4 => false, |
8060 |
+ 5 => false |
8061 |
+ ), |
8062 |
+ 'STYLES' => array( |
8063 |
+ 'BKGROUND' => 'background-color: #000000; color: #00FFFF;', |
8064 |
+ 'KEYWORDS' => array( |
8065 |
+ 1 => 'color: #FF0000;', |
8066 |
+ 2 => 'color: #21A502;', |
8067 |
+ 3 => 'color: #FF00FF;', |
8068 |
+ 4 => 'color: #876C00;', |
8069 |
+ 5 => 'color: #00FF00;' |
8070 |
+ ), |
8071 |
+ 'COMMENTS' => array( |
8072 |
+ 0 => 'color: #002EB8; font-style: italic;', |
8073 |
+ //1 => 'color: #002EB8; font-style: italic;', |
8074 |
+ //2 => 'color: #002EB8; font-style: italic;', |
8075 |
+ 'MULTI' => 'color: #002EB8; font-style: italic;' |
8076 |
+ ), |
8077 |
+ 'ESCAPE_CHAR' => array( |
8078 |
+ 0 => '' |
8079 |
+ ), |
8080 |
+ 'BRACKETS' => array( |
8081 |
+ 0 => 'color: #FF7400;' |
8082 |
+ ), |
8083 |
+ 'STRINGS' => array( |
8084 |
+ 0 => 'color: #700000;' |
8085 |
+ ), |
8086 |
+ 'NUMBERS' => array( |
8087 |
+ 0 => 'color: #FF6633;' |
8088 |
+ ), |
8089 |
+ 'METHODS' => array( |
8090 |
+ 1 => '', |
8091 |
+ 2 => '' |
8092 |
+ ), |
8093 |
+ 'SYMBOLS' => array( |
8094 |
+ 0 => 'color: #FF7400;' |
8095 |
+ ), |
8096 |
+ 'REGEXPS' => array( |
8097 |
+ 0 => 'color: #6B1F6B;' |
8098 |
+ ), |
8099 |
+ 'SCRIPT' => array( |
8100 |
+ 0 => '' |
8101 |
+ ) |
8102 |
+ ), |
8103 |
+ 'URLS' => array( |
8104 |
+ 1 => '', |
8105 |
+ 2 => '', |
8106 |
+ 3 => '', |
8107 |
+ 4 => '', |
8108 |
+ 5 => '' |
8109 |
+ ), |
8110 |
+ 'OOLANG' => false, |
8111 |
+ 'OBJECT_SPLITTERS' => array(), |
8112 |
+ 'REGEXPS' => array( |
8113 |
+ // Variables Defined in the Panel |
8114 |
+ 0 => '&[a-zA-Z]{1,8}[0-9]{0,}', |
8115 |
+ ), |
8116 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
8117 |
+ 'SCRIPT_DELIMITERS' => array( |
8118 |
+ ), |
8119 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array() |
8120 |
+); |
8121 |
|
8122 |
diff --git a/plugins/wp-syntax/geshi/geshi/j.php b/plugins/wp-syntax/geshi/geshi/j.php |
8123 |
index 5565bb4..4cfda8b 100644 |
8124 |
--- a/plugins/wp-syntax/geshi/geshi/j.php |
8125 |
+++ b/plugins/wp-syntax/geshi/geshi/j.php |
8126 |
@@ -4,7 +4,7 @@ |
8127 |
* -------- |
8128 |
* Author: Ric Sherlock (tikkanz@×××××.com) |
8129 |
* Copyright: (c) 2009 Ric Sherlock |
8130 |
- * Release Version: 1.0.8.11 |
8131 |
+ * Release Version: 1.0.8.12 |
8132 |
* Date Started: 2009/11/10 |
8133 |
* |
8134 |
* J language file for GeSHi. |
8135 |
@@ -186,5 +186,3 @@ $language_data = array( |
8136 |
) |
8137 |
) |
8138 |
); |
8139 |
- |
8140 |
-?> |
8141 |
|
8142 |
diff --git a/plugins/wp-syntax/geshi/geshi/java.php b/plugins/wp-syntax/geshi/geshi/java.php |
8143 |
index 652b8dd..b7323cd 100644 |
8144 |
--- a/plugins/wp-syntax/geshi/geshi/java.php |
8145 |
+++ b/plugins/wp-syntax/geshi/geshi/java.php |
8146 |
@@ -4,7 +4,7 @@ |
8147 |
* -------- |
8148 |
* Author: Nigel McNie (nigel@×××××.org) |
8149 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
8150 |
- * Release Version: 1.0.8.11 |
8151 |
+ * Release Version: 1.0.8.12 |
8152 |
* Date Started: 2004/07/10 |
8153 |
* |
8154 |
* Java language file for GeSHi. |
8155 |
@@ -934,7 +934,6 @@ $language_data = array ( |
8156 |
1 => 'color: #666666; font-style: italic;', |
8157 |
2 => 'color: #006699;', |
8158 |
3 => 'color: #008000; font-style: italic; font-weight: bold;', |
8159 |
- 3 => 'color: #008000; font-style: italic; font-weight: bold;', |
8160 |
'MULTI' => 'color: #666666; font-style: italic;' |
8161 |
), |
8162 |
'ESCAPE_CHAR' => array( |
8163 |
@@ -979,5 +978,3 @@ $language_data = array ( |
8164 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
8165 |
) |
8166 |
); |
8167 |
- |
8168 |
-?> |
8169 |
|
8170 |
diff --git a/plugins/wp-syntax/geshi/geshi/java5.php b/plugins/wp-syntax/geshi/geshi/java5.php |
8171 |
index af16bd1..8f0d670 100644 |
8172 |
--- a/plugins/wp-syntax/geshi/geshi/java5.php |
8173 |
+++ b/plugins/wp-syntax/geshi/geshi/java5.php |
8174 |
@@ -4,7 +4,7 @@ |
8175 |
* -------- |
8176 |
* Author: Nigel McNie (nigel@×××××.org) |
8177 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
8178 |
- * Release Version: 1.0.8.11 |
8179 |
+ * Release Version: 1.0.8.12 |
8180 |
* Date Started: 2004/07/10 |
8181 |
* |
8182 |
* Java language file for GeSHi. |
8183 |
@@ -1033,5 +1033,3 @@ $language_data = array ( |
8184 |
) |
8185 |
) |
8186 |
); |
8187 |
- |
8188 |
-?> |
8189 |
|
8190 |
diff --git a/plugins/wp-syntax/geshi/geshi/javascript.php b/plugins/wp-syntax/geshi/geshi/javascript.php |
8191 |
index b96d1b5..439b7a9 100644 |
8192 |
--- a/plugins/wp-syntax/geshi/geshi/javascript.php |
8193 |
+++ b/plugins/wp-syntax/geshi/geshi/javascript.php |
8194 |
@@ -4,7 +4,7 @@ |
8195 |
* -------------- |
8196 |
* Author: Ben Keen (ben.keen@×××××.com) |
8197 |
* Copyright: (c) 2004 Ben Keen (ben.keen@×××××.com), Nigel McNie (http://qbnz.com/highlighter) |
8198 |
- * Release Version: 1.0.8.11 |
8199 |
+ * Release Version: 1.0.8.12 |
8200 |
* Date Started: 2004/06/20 |
8201 |
* |
8202 |
* JavaScript language file for GeSHi. |
8203 |
@@ -170,5 +170,3 @@ $language_data = array ( |
8204 |
1 => true |
8205 |
) |
8206 |
); |
8207 |
- |
8208 |
-?> |
8209 |
\ No newline at end of file |
8210 |
|
8211 |
diff --git a/plugins/wp-syntax/geshi/geshi/jcl.php b/plugins/wp-syntax/geshi/geshi/jcl.php |
8212 |
new file mode 100644 |
8213 |
index 0000000..74fe0fd |
8214 |
--- /dev/null |
8215 |
+++ b/plugins/wp-syntax/geshi/geshi/jcl.php |
8216 |
@@ -0,0 +1,155 @@ |
8217 |
+<?php |
8218 |
+/************************************************************************************* |
8219 |
+ * jcl.php |
8220 |
+ * ----------- |
8221 |
+ * Author: Ramesh Vishveshwar (ramesh.vishveshwar@×××××.com) |
8222 |
+ * Copyright: (c) 2012 Ramesh Vishveshwar (http://thecodeisclear.in) |
8223 |
+ * Release Version: 1.0.8.12 |
8224 |
+ * Date Started: 2011/09/16 |
8225 |
+ * |
8226 |
+ * JCL (MVS), DFSORT, IDCAMS language file for GeSHi. |
8227 |
+ * |
8228 |
+ * CHANGES |
8229 |
+ * ------- |
8230 |
+ * 2011/09/16 (1.0.0) |
8231 |
+ * - Internal Release (for own blog/testing) |
8232 |
+ * 2012/09/22 (1.0.1) |
8233 |
+ * - Released with support for DFSORT, ICETOOL, IDCAMS |
8234 |
+ * - Added support for Symbolic variables in JCL |
8235 |
+ * - Added support for TWS OPC variables |
8236 |
+ * |
8237 |
+ ************************************************************************************* |
8238 |
+ * |
8239 |
+ * This file is part of GeSHi. |
8240 |
+ * |
8241 |
+ * GeSHi is free software; you can redistribute it and/or modify |
8242 |
+ * it under the terms of the GNU General Public License as published by |
8243 |
+ * the Free Software Foundation; either version 2 of the License, or |
8244 |
+ * (at your option) any later version. |
8245 |
+ * |
8246 |
+ * GeSHi is distributed in the hope that it will be useful, |
8247 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
8248 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
8249 |
+ * GNU General Public License for more details. |
8250 |
+ * |
8251 |
+ * You should have received a copy of the GNU General Public License |
8252 |
+ * along with GeSHi; if not, write to the Free Software |
8253 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
8254 |
+ * |
8255 |
+ ************************************************************************************/ |
8256 |
+ |
8257 |
+$language_data = array ( |
8258 |
+ 'LANG_NAME' => 'JCL', |
8259 |
+ 'COMMENT_SINGLE' => array(), |
8260 |
+ 'COMMENT_MULTI' => array(), |
8261 |
+ 'COMMENT_REGEXP' => array( |
8262 |
+ // Comments identified using REGEX |
8263 |
+ // Comments start with //* but should not be followed by % (TWS) or + (some JES3 stmts) |
8264 |
+ 3 => "\/\/\*[^%](.*?)(\n)" |
8265 |
+ ), |
8266 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER, |
8267 |
+ 'QUOTEMARKS' => array("'", '"'), |
8268 |
+ 'ESCAPE_CHAR' => '', |
8269 |
+ 'KEYWORDS' => array( |
8270 |
+ 1 => array( |
8271 |
+ 'COMMAND', 'CNTL', 'DD', 'ENDCNTL', 'EXEC', 'IF', 'THEN', 'ELSE', |
8272 |
+ 'ENDIF', 'JCLLIB', 'JOB', 'OUTPUT', 'PEND', |
8273 |
+ 'PROC', 'SET', 'XMIT' |
8274 |
+ ), |
8275 |
+ 2 => array ( |
8276 |
+ 'PGM','CLASS','NOTIFY','MSGCLASS','DSN','KEYLEN','LABEL','LIKE', |
8277 |
+ 'RECFM','LRECL','DCB','DSORG','BLKSIZE','SPACE','STORCLAS', |
8278 |
+ 'DUMMY','DYNAM','AVGREC','BURST','DISP','UNIT','VOLUME', |
8279 |
+ 'MSGLEVEL','REGION' |
8280 |
+ ), |
8281 |
+ // Keywords set 3: DFSORT, ICETOOL |
8282 |
+ 3 => array ( |
8283 |
+ 'ALTSEQ','DEBUG','END','INCLUDE','INREC','MERGE','MODS','OMIT', |
8284 |
+ 'OPTION','OUTFIL','OUTREC','RECORD','SORT','SUM', |
8285 |
+ 'COPY','COUNT','DEFAULTS','DISPLAY','MODE','OCCUR','RANGE', |
8286 |
+ 'SELECT','STATS','UNIQUE','VERIFY' |
8287 |
+ ), |
8288 |
+ // Keywords set 4: IDCAMS |
8289 |
+ 4 => array ( |
8290 |
+ 'ALTER','BLDINDEX','CNVTCAT','DEFINE','ALIAS','ALTERNATEINDEX', |
8291 |
+ 'CLUSTER','GENERATIONDATAGROUP','GDG','NONVSAM','PAGESPACE','PATH', |
8292 |
+ /* 'SPACE',*/'USERCATALOG','DELETE','EXAMINE','EXPORT','DISCONNECT', |
8293 |
+ 'EXPORTRA','IMPORT','CONNECT','IMPORTRA','LISTCAT','LISTCRA', |
8294 |
+ 'PRINT','REPRO','RESETCAT'//,'VERIFY' |
8295 |
+ ) |
8296 |
+ ), |
8297 |
+ 'SYMBOLS' => array( |
8298 |
+ '(',')','=',',','>','<' |
8299 |
+ ), |
8300 |
+ 'CASE_SENSITIVE' => array( |
8301 |
+ GESHI_COMMENTS => false, |
8302 |
+ 1 => false, |
8303 |
+ 2 => false, |
8304 |
+ 3 => false, |
8305 |
+ 4 => false |
8306 |
+ ), |
8307 |
+ 'STYLES' => array( |
8308 |
+ 'KEYWORDS' => array( |
8309 |
+ 1 => 'color: #FF0000;', |
8310 |
+ 2 => 'color: #21A502;', |
8311 |
+ 3 => 'color: #FF00FF;', |
8312 |
+ 4 => 'color: #876C00;' |
8313 |
+ ), |
8314 |
+ 'COMMENTS' => array( |
8315 |
+ 0 => 'color: #0000FF;', |
8316 |
+ //1 => 'color: #0000FF;', |
8317 |
+ //2 => 'color: #0000FF;', |
8318 |
+ 3 => 'color: #0000FF;' |
8319 |
+ ), |
8320 |
+ 'ESCAPE_CHAR' => array( |
8321 |
+ 0 => '' |
8322 |
+ ), |
8323 |
+ 'BRACKETS' => array( |
8324 |
+ 0 => 'color: #FF7400;' |
8325 |
+ ), |
8326 |
+ 'STRINGS' => array( |
8327 |
+ 0 => 'color: #66CC66;' |
8328 |
+ ), |
8329 |
+ 'NUMBERS' => array( |
8330 |
+ 0 => 'color: #336633;' |
8331 |
+ ), |
8332 |
+ 'METHODS' => array( |
8333 |
+ 1 => '', |
8334 |
+ 2 => '' |
8335 |
+ ), |
8336 |
+ 'SYMBOLS' => array( |
8337 |
+ 0 => 'color: #FF7400;' |
8338 |
+ ), |
8339 |
+ 'REGEXPS' => array( |
8340 |
+ 0 => 'color: #6B1F6B;', |
8341 |
+ 1 => 'color: #6B1F6B;', |
8342 |
+ 2 => 'color: #6B1F6B;' |
8343 |
+ ), |
8344 |
+ 'SCRIPT' => array( |
8345 |
+ 0 => '' |
8346 |
+ ) |
8347 |
+ ), |
8348 |
+ 'URLS' => array( |
8349 |
+ 1 => '', |
8350 |
+ // JCL book at IBM Bookshelf is http://publibz.boulder.ibm.com/cgi-bin/bookmgr_OS390/handheld/Connected/BOOKS/IEA2B680/CONTENTS?SHELF=&DT=20080604022956#3.1 |
8351 |
+ 2 => '', |
8352 |
+ 3 => '', |
8353 |
+ 4 => '' |
8354 |
+ ), |
8355 |
+ 'OOLANG' => false, |
8356 |
+ 'OBJECT_SPLITTERS' => array(), |
8357 |
+ 'REGEXPS' => array( |
8358 |
+ // The following regular expressions solves three purposes |
8359 |
+ // - Identify Temp Variables in JCL (e.g. &&TEMP) |
8360 |
+ // - Symbolic variables in JCL (e.g. &SYSUID) |
8361 |
+ // - TWS OPC Variables (e.g. %OPC) |
8362 |
+ // Thanks to Simon for pointing me to this |
8363 |
+ 0 => '&&[a-zA-Z]{1,8}[0-9]{0,}', |
8364 |
+ 1 => '&[a-zA-Z]{1,8}[0-9]{0,}', |
8365 |
+ 2 => '&|\?|%[a-zA-Z]{1,8}[0-9]{0,}' |
8366 |
+ ), |
8367 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
8368 |
+ 'SCRIPT_DELIMITERS' => array( |
8369 |
+ ), |
8370 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array() |
8371 |
+); |
8372 |
|
8373 |
diff --git a/plugins/wp-syntax/geshi/geshi/jquery.php b/plugins/wp-syntax/geshi/geshi/jquery.php |
8374 |
index a75320d..02a9eee 100644 |
8375 |
--- a/plugins/wp-syntax/geshi/geshi/jquery.php |
8376 |
+++ b/plugins/wp-syntax/geshi/geshi/jquery.php |
8377 |
@@ -4,7 +4,7 @@ |
8378 |
* -------------- |
8379 |
* Author: Rob Loach (http://www.robloach.net) |
8380 |
* Copyright: (c) 2009 Rob Loach (http://www.robloach.net) |
8381 |
- * Release Version: 1.0.8.11 |
8382 |
+ * Release Version: 1.0.8.12 |
8383 |
* Date Started: 2009/07/20 |
8384 |
* |
8385 |
* jQuery 1.3 language file for GeSHi. |
8386 |
@@ -234,5 +234,3 @@ $language_data = array ( |
8387 |
1 => true |
8388 |
) |
8389 |
); |
8390 |
- |
8391 |
-?> |
8392 |
\ No newline at end of file |
8393 |
|
8394 |
diff --git a/plugins/wp-syntax/geshi/geshi/kixtart.php b/plugins/wp-syntax/geshi/geshi/kixtart.php |
8395 |
index 5b90919..b2b7b93 100644 |
8396 |
--- a/plugins/wp-syntax/geshi/geshi/kixtart.php |
8397 |
+++ b/plugins/wp-syntax/geshi/geshi/kixtart.php |
8398 |
@@ -4,7 +4,7 @@ |
8399 |
* -------- |
8400 |
* Author: Riley McArdle (riley@×××××.net) |
8401 |
* Copyright: (c) 2007 Riley McArdle (http://www.glyff.net/) |
8402 |
- * Release Version: 1.0.8.11 |
8403 |
+ * Release Version: 1.0.8.12 |
8404 |
* Date Started: 2007/08/31 |
8405 |
* |
8406 |
* PHP language file for GeSHi. |
8407 |
@@ -325,5 +325,3 @@ $language_data = array ( |
8408 |
), |
8409 |
'TAB_WIDTH' => 4 |
8410 |
); |
8411 |
- |
8412 |
-?> |
8413 |
|
8414 |
diff --git a/plugins/wp-syntax/geshi/geshi/klonec.php b/plugins/wp-syntax/geshi/geshi/klonec.php |
8415 |
index 5f86e78..f4f8ac4 100644 |
8416 |
--- a/plugins/wp-syntax/geshi/geshi/klonec.php |
8417 |
+++ b/plugins/wp-syntax/geshi/geshi/klonec.php |
8418 |
@@ -4,7 +4,7 @@ |
8419 |
* -------- |
8420 |
* Author: AUGER Mickael |
8421 |
* Copyright: Synchronic |
8422 |
- * Release Version: 1.0.8.11 |
8423 |
+ * Release Version: 1.0.8.12 |
8424 |
* Date Started: 2008/04/16 |
8425 |
* |
8426 |
* KLone with C language file for GeSHi. |
8427 |
@@ -278,5 +278,3 @@ $language_data = array ( |
8428 |
) |
8429 |
) |
8430 |
); |
8431 |
- |
8432 |
-?> |
8433 |
|
8434 |
diff --git a/plugins/wp-syntax/geshi/geshi/klonecpp.php b/plugins/wp-syntax/geshi/geshi/klonecpp.php |
8435 |
index 6564c6b..e11015e 100644 |
8436 |
--- a/plugins/wp-syntax/geshi/geshi/klonecpp.php |
8437 |
+++ b/plugins/wp-syntax/geshi/geshi/klonecpp.php |
8438 |
@@ -4,7 +4,7 @@ |
8439 |
* -------- |
8440 |
* Author: AUGER Mickael |
8441 |
* Copyright: Synchronic |
8442 |
- * Release Version: 1.0.8.11 |
8443 |
+ * Release Version: 1.0.8.12 |
8444 |
* Date Started: 2008/04/16 |
8445 |
* |
8446 |
* KLone with C++ language file for GeSHi. |
8447 |
@@ -306,5 +306,3 @@ $language_data = array ( |
8448 |
) |
8449 |
) |
8450 |
); |
8451 |
- |
8452 |
-?> |
8453 |
|
8454 |
diff --git a/plugins/wp-syntax/geshi/geshi/latex.php b/plugins/wp-syntax/geshi/geshi/latex.php |
8455 |
index 386a0b9..8b28e34 100644 |
8456 |
--- a/plugins/wp-syntax/geshi/geshi/latex.php |
8457 |
+++ b/plugins/wp-syntax/geshi/geshi/latex.php |
8458 |
@@ -4,7 +4,7 @@ |
8459 |
* ----- |
8460 |
* Author: efi, Matthias Pospiech (matthias@××××××××.eu) |
8461 |
* Copyright: (c) 2006 efi, Matthias Pospiech (matthias@××××××××.eu), Nigel McNie (http://qbnz.com/highlighter) |
8462 |
- * Release Version: 1.0.8.11 |
8463 |
+ * Release Version: 1.0.8.12 |
8464 |
* Date Started: 2006/09/23 |
8465 |
* |
8466 |
* LaTeX language file for GeSHi. |
8467 |
@@ -131,7 +131,7 @@ $language_data = array ( |
8468 |
) |
8469 |
), |
8470 |
'URLS' => array( |
8471 |
- 1 => 'http://www.golatex.de/wiki/index.php?title=%5C{FNAME}', |
8472 |
+ 1 => 'http://www.golatex.de/wiki/%5C{FNAME}', |
8473 |
), |
8474 |
'OOLANG' => false, |
8475 |
'OBJECT_SPLITTERS' => array( |
8476 |
@@ -219,5 +219,3 @@ $language_data = array ( |
8477 |
) |
8478 |
) |
8479 |
); |
8480 |
- |
8481 |
-?> |
8482 |
\ No newline at end of file |
8483 |
|
8484 |
diff --git a/plugins/wp-syntax/geshi/geshi/lb.php b/plugins/wp-syntax/geshi/geshi/lb.php |
8485 |
index 6c28828..aa3f5c0 100644 |
8486 |
--- a/plugins/wp-syntax/geshi/geshi/lb.php |
8487 |
+++ b/plugins/wp-syntax/geshi/geshi/lb.php |
8488 |
@@ -4,7 +4,7 @@ |
8489 |
* -------- |
8490 |
* Author: Chris Iverson (cj.no.one@×××××.com) |
8491 |
* Copyright: (c) 2010 Chris Iverson |
8492 |
- * Release Version: 1.0.8.11 |
8493 |
+ * Release Version: 1.0.8.12 |
8494 |
* Date Started: 2010/07/18 |
8495 |
* |
8496 |
* Liberty BASIC language file for GeSHi. |
8497 |
@@ -158,5 +158,3 @@ $language_data = array( |
8498 |
) |
8499 |
) |
8500 |
); |
8501 |
- |
8502 |
-?> |
8503 |
\ No newline at end of file |
8504 |
|
8505 |
diff --git a/plugins/wp-syntax/geshi/geshi/ldif.php b/plugins/wp-syntax/geshi/geshi/ldif.php |
8506 |
index 4248183..c413513 100644 |
8507 |
--- a/plugins/wp-syntax/geshi/geshi/ldif.php |
8508 |
+++ b/plugins/wp-syntax/geshi/geshi/ldif.php |
8509 |
@@ -4,7 +4,7 @@ |
8510 |
* -------- |
8511 |
* Author: Bruno Harbulot (Bruno.Harbulot@×××××××××××××.uk) |
8512 |
* Copyright: (c) 2005 deguix, (c) 2010 Bruno Harbulot |
8513 |
- * Release Version: 1.0.8.11 |
8514 |
+ * Release Version: 1.0.8.12 |
8515 |
* Date Started: 2010/03/01 |
8516 |
* |
8517 |
* LDIF language file for GeSHi. |
8518 |
@@ -112,5 +112,3 @@ $language_data = array ( |
8519 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
8520 |
) |
8521 |
); |
8522 |
- |
8523 |
-?> |
8524 |
\ No newline at end of file |
8525 |
|
8526 |
diff --git a/plugins/wp-syntax/geshi/geshi/lisp.php b/plugins/wp-syntax/geshi/geshi/lisp.php |
8527 |
index be823a4..a230191 100644 |
8528 |
--- a/plugins/wp-syntax/geshi/geshi/lisp.php |
8529 |
+++ b/plugins/wp-syntax/geshi/geshi/lisp.php |
8530 |
@@ -3,14 +3,16 @@ |
8531 |
* lisp.php |
8532 |
* -------- |
8533 |
* Author: Roberto Rossi (rsoftware@××××××××××.org) |
8534 |
- * Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter |
8535 |
- * Release Version: 1.0.8.11 |
8536 |
+ * Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter) |
8537 |
+ * Release Version: 1.0.8.12 |
8538 |
* Date Started: 2004/08/30 |
8539 |
* |
8540 |
* Generic Lisp language file for GeSHi. |
8541 |
* |
8542 |
* CHANGES |
8543 |
* ------- |
8544 |
+ * 2013/11/13 (1.0.8.12) |
8545 |
+ * - Fixed bug where a keyword was highlighted in identifiers (Edward Hart) |
8546 |
* 2005/12/9 (1.0.2) |
8547 |
* - Added support for :keywords and ::access (Denis Mashkevich) |
8548 |
* 2004/11/27 (1.0.1) |
8549 |
@@ -135,10 +137,11 @@ $language_data = array ( |
8550 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
8551 |
), |
8552 |
'PARSER_CONTROL' => array( |
8553 |
+ 'KEYWORDS' => array( |
8554 |
+ 'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9-\$_\|\#|^&])', |
8555 |
+ ), |
8556 |
'OOLANG' => array( |
8557 |
'MATCH_AFTER' => '[a-zA-Z][a-zA-Z0-9_\-]*' |
8558 |
) |
8559 |
) |
8560 |
); |
8561 |
- |
8562 |
-?> |
8563 |
\ No newline at end of file |
8564 |
|
8565 |
diff --git a/plugins/wp-syntax/geshi/geshi/llvm.php b/plugins/wp-syntax/geshi/geshi/llvm.php |
8566 |
index 580099b..4fc2040 100644 |
8567 |
--- a/plugins/wp-syntax/geshi/geshi/llvm.php |
8568 |
+++ b/plugins/wp-syntax/geshi/geshi/llvm.php |
8569 |
@@ -4,7 +4,7 @@ |
8570 |
* -------- |
8571 |
* Author: Benny Baumann (BenBE@×××××.org), Azriel Fasten (azriel.fasten@×××××.com) |
8572 |
* Copyright: (c) 2010 Benny Baumann (http://qbnz.com/highlighter/), Azriel Fasten (azriel.fasten@×××××.com) |
8573 |
- * Release Version: 1.0.8.11 |
8574 |
+ * Release Version: 1.0.8.12 |
8575 |
* Date Started: 2010/10/14 |
8576 |
* |
8577 |
* LLVM language file for GeSHi. |
8578 |
@@ -381,5 +381,3 @@ $language_data = array( |
8579 |
'SCRIPT_DELIMITERS' => array(), |
8580 |
'TAB_WIDTH' => 4 |
8581 |
); |
8582 |
- |
8583 |
-?> |
8584 |
\ No newline at end of file |
8585 |
|
8586 |
diff --git a/plugins/wp-syntax/geshi/geshi/locobasic.php b/plugins/wp-syntax/geshi/geshi/locobasic.php |
8587 |
index 61c8a3c..686bb6c 100644 |
8588 |
--- a/plugins/wp-syntax/geshi/geshi/locobasic.php |
8589 |
+++ b/plugins/wp-syntax/geshi/geshi/locobasic.php |
8590 |
@@ -4,7 +4,7 @@ |
8591 |
* ------------- |
8592 |
* Author: Nacho Cabanes |
8593 |
* Copyright: (c) 2009 Nacho Cabanes (http://www.nachocabanes.com) |
8594 |
- * Release Version: 1.0.8.11 |
8595 |
+ * Release Version: 1.0.8.12 |
8596 |
* Date Started: 2009/03/22 |
8597 |
* |
8598 |
* Locomotive Basic (Amstrad CPC series) language file for GeSHi. |
8599 |
@@ -126,5 +126,3 @@ $language_data = array ( |
8600 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
8601 |
) |
8602 |
); |
8603 |
- |
8604 |
-?> |
8605 |
\ No newline at end of file |
8606 |
|
8607 |
diff --git a/plugins/wp-syntax/geshi/geshi/logtalk.php b/plugins/wp-syntax/geshi/geshi/logtalk.php |
8608 |
index 0573466..c72e548 100644 |
8609 |
--- a/plugins/wp-syntax/geshi/geshi/logtalk.php |
8610 |
+++ b/plugins/wp-syntax/geshi/geshi/logtalk.php |
8611 |
@@ -5,7 +5,7 @@ |
8612 |
* |
8613 |
* Author: Paulo Moura (pmoura@×××××××.org) |
8614 |
* Copyright: (c) 2009-2011 Paulo Moura (http://logtalk.org/) |
8615 |
- * Release Version: 1.0.8.11 |
8616 |
+ * Release Version: 1.0.8.12 |
8617 |
* Date Started: 2009/10/24 |
8618 |
* |
8619 |
* Logtalk language file for GeSHi. |
8620 |
@@ -308,38 +308,36 @@ $language_data = array( |
8621 |
'PARSER_CONTROL' => array( |
8622 |
'ENABLE_FLAGS' => array( |
8623 |
'BRACKETS' => GESHI_NEVER |
8624 |
- ), |
8625 |
+ ), |
8626 |
'KEYWORDS' => array( |
8627 |
1 => array( |
8628 |
'DISALLOWED_BEFORE' => '(?<=:-\s)', |
8629 |
'DISALLOWED_AFTER' => '(?=\()' |
8630 |
- ), |
8631 |
+ ), |
8632 |
2 => array( |
8633 |
'DISALLOWED_BEFORE' => '(?<=:-\s)', |
8634 |
'DISALLOWED_AFTER' => '(?=\.)' |
8635 |
- ), |
8636 |
+ ), |
8637 |
3 => array( |
8638 |
'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9\$_\|\#>|^&\'"])', |
8639 |
'DISALLOWED_AFTER' => '(?=\()' |
8640 |
- ), |
8641 |
+ ), |
8642 |
4 => array( |
8643 |
'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9\$_\|\#>|^&\'"])', |
8644 |
'DISALLOWED_AFTER' => '(?=\()' |
8645 |
- ), |
8646 |
+ ), |
8647 |
5 => array( |
8648 |
'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9\$_\|\#>|^&\'"])', |
8649 |
'DISALLOWED_AFTER' => '(?![a-zA-Z0-9_\|%\\-&\'"])' |
8650 |
- ), |
8651 |
+ ), |
8652 |
6 => array( |
8653 |
'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9\$_\|\#;>|^&\'"])', |
8654 |
'DISALLOWED_AFTER' => '(?=\()' |
8655 |
- ), |
8656 |
+ ), |
8657 |
7 => array( |
8658 |
'DISALLOWED_BEFORE' => '(?<![a-zA-Z0-9\$_\|\#;>|^&\'"])', |
8659 |
'DISALLOWED_AFTER' => '(?![a-zA-Z0-9_\|%\\-&\'"])' |
8660 |
+ ) |
8661 |
) |
8662 |
) |
8663 |
- ), |
8664 |
); |
8665 |
- |
8666 |
-?> |
8667 |
\ No newline at end of file |
8668 |
|
8669 |
diff --git a/plugins/wp-syntax/geshi/geshi/lolcode.php b/plugins/wp-syntax/geshi/geshi/lolcode.php |
8670 |
index ab6088b..3dee092 100644 |
8671 |
--- a/plugins/wp-syntax/geshi/geshi/lolcode.php |
8672 |
+++ b/plugins/wp-syntax/geshi/geshi/lolcode.php |
8673 |
@@ -4,13 +4,16 @@ |
8674 |
* ---------- |
8675 |
* Author: Benny Baumann (BenBE@×××××.org) |
8676 |
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/) |
8677 |
- * Release Version: 1.0.8.11 |
8678 |
+ * Release Version: 1.0.8.12 |
8679 |
* Date Started: 2009/10/31 |
8680 |
* |
8681 |
* LOLcode language file for GeSHi. |
8682 |
* |
8683 |
* CHANGES |
8684 |
* ------- |
8685 |
+ * 2014/2/25 |
8686 |
+ * - Fixed to not throw missing index exception. |
8687 |
+ * |
8688 |
* 2008/10/31 (1.0.8.1) |
8689 |
* - First Release |
8690 |
* |
8691 |
@@ -36,10 +39,11 @@ |
8692 |
* Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
8693 |
* |
8694 |
************************************************************************************/ |
8695 |
-$language_data = array ( |
8696 |
+ |
8697 |
+$language_data = array( |
8698 |
'LANG_NAME' => 'LOLcode', |
8699 |
- 'COMMENT_SINGLE' => array(), |
8700 |
- 'COMMENT_MULTI' => array(), |
8701 |
+ 'COMMENT_SINGLE' => array('BTW'), |
8702 |
+ 'COMMENT_MULTI' => array('BTW','WTB'), |
8703 |
'COMMENT_REGEXP' => array( |
8704 |
1 => "/\bBTW\b.*$/im", |
8705 |
2 => "/(^|\b)(?:OBTW\b.+?\bTLDR|LOL\b.+?\/LOL)(\b|$)/si" |
8706 |
@@ -102,6 +106,7 @@ $language_data = array ( |
8707 |
4 => 'color: #800000;' |
8708 |
), |
8709 |
'COMMENTS' => array( |
8710 |
+ 0 => 'color: #666666; style: italic;', |
8711 |
1 => 'color: #666666; style: italic;', |
8712 |
2 => 'color: #666666; style: italic;' |
8713 |
), |
8714 |
@@ -112,15 +117,19 @@ $language_data = array ( |
8715 |
0 => 'color: #ff0000;' |
8716 |
), |
8717 |
'NUMBERS' => array( |
8718 |
+ 0 => 'color: #00F;' |
8719 |
), |
8720 |
'METHODS' => array( |
8721 |
+ 0 => 'color: #0F0;' |
8722 |
), |
8723 |
'SYMBOLS' => array( |
8724 |
0 => 'color: #66cc66;' |
8725 |
), |
8726 |
'ESCAPE_CHAR' => array( |
8727 |
+ 0 => 'color: #00F;' |
8728 |
), |
8729 |
'SCRIPT' => array( |
8730 |
+ 0 => 'color: #00F;' |
8731 |
), |
8732 |
'REGEXPS' => array( |
8733 |
) |
8734 |
@@ -148,5 +157,3 @@ $language_data = array ( |
8735 |
), |
8736 |
'TAB_WIDTH' => 4 |
8737 |
); |
8738 |
- |
8739 |
-?> |
8740 |
\ No newline at end of file |
8741 |
|
8742 |
diff --git a/plugins/wp-syntax/geshi/geshi/lotusformulas.php b/plugins/wp-syntax/geshi/geshi/lotusformulas.php |
8743 |
index 12257d7..e94f5df 100644 |
8744 |
--- a/plugins/wp-syntax/geshi/geshi/lotusformulas.php |
8745 |
+++ b/plugins/wp-syntax/geshi/geshi/lotusformulas.php |
8746 |
@@ -4,7 +4,7 @@ |
8747 |
* ------------------------ |
8748 |
* Author: Richard Civil (info@××××××××××××.net) |
8749 |
* Copyright: (c) 2008 Richard Civil (info@××××××××××××.net), Nigel McNie (http://qbnz.com/highlighter) |
8750 |
- * Release Version: 1.0.8.11 |
8751 |
+ * Release Version: 1.0.8.12 |
8752 |
* Date Started: 2008/04/12 |
8753 |
* |
8754 |
* @Formula/@Command language file for GeSHi. |
8755 |
@@ -313,6 +313,4 @@ $language_data = array ( |
8756 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
8757 |
), |
8758 |
'TAB_WIDTH' => 2 |
8759 |
- ); |
8760 |
- |
8761 |
-?> |
8762 |
+); |
8763 |
|
8764 |
diff --git a/plugins/wp-syntax/geshi/geshi/lotusscript.php b/plugins/wp-syntax/geshi/geshi/lotusscript.php |
8765 |
index b8b65f2..e5a8971 100644 |
8766 |
--- a/plugins/wp-syntax/geshi/geshi/lotusscript.php |
8767 |
+++ b/plugins/wp-syntax/geshi/geshi/lotusscript.php |
8768 |
@@ -4,7 +4,7 @@ |
8769 |
* ------------------------ |
8770 |
* Author: Richard Civil (info@××××××××××××.net) |
8771 |
* Copyright: (c) 2008 Richard Civil (info@××××××××××××.net), Nigel McNie (http://qbnz.com/highlighter) |
8772 |
- * Release Version: 1.0.8.11 |
8773 |
+ * Release Version: 1.0.8.12 |
8774 |
* Date Started: 2008/04/12 |
8775 |
* |
8776 |
* LotusScript language file for GeSHi. |
8777 |
@@ -187,5 +187,3 @@ $language_data = array ( |
8778 |
), |
8779 |
'TAB_WIDTH' => 2 |
8780 |
); |
8781 |
- |
8782 |
-?> |
8783 |
|
8784 |
diff --git a/plugins/wp-syntax/geshi/geshi/lscript.php b/plugins/wp-syntax/geshi/geshi/lscript.php |
8785 |
index 298af61..acfd18e 100644 |
8786 |
--- a/plugins/wp-syntax/geshi/geshi/lscript.php |
8787 |
+++ b/plugins/wp-syntax/geshi/geshi/lscript.php |
8788 |
@@ -4,7 +4,7 @@ |
8789 |
* --------- |
8790 |
* Author: Arendedwinter (admin@×××××××××××××.com) |
8791 |
* Copyright: (c) 2008 Beau McGuigan (http://www.arendedwinter.com) |
8792 |
- * Release Version: 1.0.8.11 |
8793 |
+ * Release Version: 1.0.8.12 |
8794 |
* Date Started: 15/11/2008 |
8795 |
* |
8796 |
* Lightwave Script language file for GeSHi. |
8797 |
@@ -383,5 +383,3 @@ $language_data = array ( |
8798 |
) |
8799 |
) |
8800 |
); |
8801 |
- |
8802 |
-?> |
8803 |
\ No newline at end of file |
8804 |
|
8805 |
diff --git a/plugins/wp-syntax/geshi/geshi/lsl2.php b/plugins/wp-syntax/geshi/geshi/lsl2.php |
8806 |
index f80cf4f..1bbf1c0 100644 |
8807 |
--- a/plugins/wp-syntax/geshi/geshi/lsl2.php |
8808 |
+++ b/plugins/wp-syntax/geshi/geshi/lsl2.php |
8809 |
@@ -4,23 +4,21 @@ |
8810 |
* -------- |
8811 |
* Author: William Fry (william.fry@×××.edu) |
8812 |
* Copyright: (c) 2009 William Fry |
8813 |
- * Release Version: 1.0.8.11 |
8814 |
+ * Release Version: 1.0.8.12 |
8815 |
* Date Started: 2009/02/04 |
8816 |
* |
8817 |
* Linden Scripting Language (LSL2) language file for GeSHi. |
8818 |
* |
8819 |
- * Data derived and validated against the following: |
8820 |
- * http://wiki.secondlife.com/wiki/LSL_Portal |
8821 |
- * http://www.lslwiki.net/lslwiki/wakka.php?wakka=HomePage |
8822 |
- * http://rpgstats.com/wiki/index.php?title=Main_Page |
8823 |
- * |
8824 |
* CHANGES |
8825 |
* ------- |
8826 |
- * 2009/02/05 (1.0.0) |
8827 |
+ * 2009-02-05 (1.0.0) |
8828 |
* - First Release |
8829 |
- * |
8830 |
- * TODO (updated 2009/02/05) |
8831 |
- * ------------------------- |
8832 |
+ * 2013-01-01 |
8833 |
+ * - Modified by Sei Lisa for compatibility with the geshi.py output module |
8834 |
+ * which is part of the LSL2 Derived Files Generator, available at: |
8835 |
+ * http://code.google.com/p/lsl-keywords |
8836 |
+ * 2013-07-11 |
8837 |
+ * - Modified by Sei Lisa to fix symbols and to add multiline comment support |
8838 |
* |
8839 |
************************************************************************************* |
8840 |
* |
8841 |
@@ -42,10 +40,12 @@ |
8842 |
* |
8843 |
************************************************************************************/ |
8844 |
|
8845 |
-$language_data = array ( |
8846 |
+// Generated by LSL2 Derived Files Generator. Database version: 0.0.20140116001; output module version: 0.0.20130817000 |
8847 |
+ |
8848 |
+$language_data = array( |
8849 |
'LANG_NAME' => 'LSL2', |
8850 |
'COMMENT_SINGLE' => array(1 => '//'), |
8851 |
- 'COMMENT_MULTI' => array(), |
8852 |
+ 'COMMENT_MULTI' => array('/*' => '*/'), |
8853 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
8854 |
'QUOTEMARKS' => array('"'), |
8855 |
'ESCAPE_CHAR' => '\\', |
8856 |
@@ -65,11 +65,17 @@ $language_data = array ( |
8857 |
'AGENT', |
8858 |
'AGENT_ALWAYS_RUN', |
8859 |
'AGENT_ATTACHMENTS', |
8860 |
+ 'AGENT_AUTOPILOT', |
8861 |
'AGENT_AWAY', |
8862 |
'AGENT_BUSY', |
8863 |
+ 'AGENT_BY_LEGACY_NAME', |
8864 |
+ 'AGENT_BY_USERNAME', |
8865 |
'AGENT_CROUCHING', |
8866 |
'AGENT_FLYING', |
8867 |
'AGENT_IN_AIR', |
8868 |
+ 'AGENT_LIST_PARCEL', |
8869 |
+ 'AGENT_LIST_PARCEL_OWNER', |
8870 |
+ 'AGENT_LIST_REGION', |
8871 |
'AGENT_MOUSELOOK', |
8872 |
'AGENT_ON_OBJECT', |
8873 |
'AGENT_SCRIPTED', |
8874 |
@@ -78,6 +84,7 @@ $language_data = array ( |
8875 |
'AGENT_WALKING', |
8876 |
'ALL_SIDES', |
8877 |
'ANIM_ON', |
8878 |
+ 'ATTACH_AVATAR_CENTER', |
8879 |
'ATTACH_BACK', |
8880 |
'ATTACH_BELLY', |
8881 |
'ATTACH_CHEST', |
8882 |
@@ -92,17 +99,18 @@ $language_data = array ( |
8883 |
'ATTACH_HUD_TOP_LEFT', |
8884 |
'ATTACH_HUD_TOP_RIGHT', |
8885 |
'ATTACH_LEAR', |
8886 |
+ 'ATTACH_LEFT_PEC', |
8887 |
'ATTACH_LEYE', |
8888 |
'ATTACH_LFOOT', |
8889 |
'ATTACH_LHAND', |
8890 |
'ATTACH_LHIP', |
8891 |
'ATTACH_LLARM', |
8892 |
'ATTACH_LLLEG', |
8893 |
- 'ATTACH_LPEC', |
8894 |
'ATTACH_LSHOULDER', |
8895 |
'ATTACH_LUARM', |
8896 |
'ATTACH_LULEG', |
8897 |
'ATTACH_MOUTH', |
8898 |
+ 'ATTACH_NECK', |
8899 |
'ATTACH_NOSE', |
8900 |
'ATTACH_PELVIS', |
8901 |
'ATTACH_REAR', |
8902 |
@@ -110,12 +118,15 @@ $language_data = array ( |
8903 |
'ATTACH_RFOOT', |
8904 |
'ATTACH_RHAND', |
8905 |
'ATTACH_RHIP', |
8906 |
+ 'ATTACH_RIGHT_PEC', |
8907 |
'ATTACH_RLARM', |
8908 |
'ATTACH_RLLEG', |
8909 |
- 'ATTACH_RPEC', |
8910 |
'ATTACH_RSHOULDER', |
8911 |
'ATTACH_RUARM', |
8912 |
'ATTACH_RULEG', |
8913 |
+ 'AVOID_CHARACTERS', |
8914 |
+ 'AVOID_DYNAMIC_OBSTACLES', |
8915 |
+ 'AVOID_NONE', |
8916 |
'CAMERA_ACTIVE', |
8917 |
'CAMERA_BEHINDNESS_ANGLE', |
8918 |
'CAMERA_BEHINDNESS_LAG', |
8919 |
@@ -134,18 +145,52 @@ $language_data = array ( |
8920 |
'CHANGED_COLOR', |
8921 |
'CHANGED_INVENTORY', |
8922 |
'CHANGED_LINK', |
8923 |
+ 'CHANGED_MEDIA', |
8924 |
'CHANGED_OWNER', |
8925 |
'CHANGED_REGION', |
8926 |
+ 'CHANGED_REGION_START', |
8927 |
'CHANGED_SCALE', |
8928 |
'CHANGED_SHAPE', |
8929 |
'CHANGED_TELEPORT', |
8930 |
'CHANGED_TEXTURE', |
8931 |
+ 'CHARACTER_ACCOUNT_FOR_SKIPPED_FRAMES', |
8932 |
+ 'CHARACTER_AVOIDANCE_MODE', |
8933 |
+ 'CHARACTER_CMD_JUMP', |
8934 |
+ 'CHARACTER_CMD_SMOOTH_STOP', |
8935 |
+ 'CHARACTER_CMD_STOP', |
8936 |
+ 'CHARACTER_DESIRED_SPEED', |
8937 |
+ 'CHARACTER_DESIRED_TURN_SPEED', |
8938 |
+ 'CHARACTER_LENGTH', |
8939 |
+ 'CHARACTER_MAX_ACCEL', |
8940 |
+ 'CHARACTER_MAX_DECEL', |
8941 |
+ 'CHARACTER_MAX_SPEED', |
8942 |
+ 'CHARACTER_MAX_TURN_RADIUS', |
8943 |
+ 'CHARACTER_ORIENTATION', |
8944 |
+ 'CHARACTER_RADIUS', |
8945 |
+ 'CHARACTER_STAY_WITHIN_PARCEL', |
8946 |
+ 'CHARACTER_TYPE', |
8947 |
+ 'CHARACTER_TYPE_A', |
8948 |
+ 'CHARACTER_TYPE_B', |
8949 |
+ 'CHARACTER_TYPE_C', |
8950 |
+ 'CHARACTER_TYPE_D', |
8951 |
+ 'CHARACTER_TYPE_NONE', |
8952 |
+ 'CLICK_ACTION_BUY', |
8953 |
'CLICK_ACTION_NONE', |
8954 |
'CLICK_ACTION_OPEN', |
8955 |
'CLICK_ACTION_OPEN_MEDIA', |
8956 |
'CLICK_ACTION_PAY', |
8957 |
+ 'CLICK_ACTION_PLAY', |
8958 |
'CLICK_ACTION_SIT', |
8959 |
'CLICK_ACTION_TOUCH', |
8960 |
+ 'CONTENT_TYPE_ATOM', |
8961 |
+ 'CONTENT_TYPE_FORM', |
8962 |
+ 'CONTENT_TYPE_HTML', |
8963 |
+ 'CONTENT_TYPE_JSON', |
8964 |
+ 'CONTENT_TYPE_LLSD', |
8965 |
+ 'CONTENT_TYPE_RSS', |
8966 |
+ 'CONTENT_TYPE_TEXT', |
8967 |
+ 'CONTENT_TYPE_XHTML', |
8968 |
+ 'CONTENT_TYPE_XML', |
8969 |
'CONTROL_BACK', |
8970 |
'CONTROL_DOWN', |
8971 |
'CONTROL_FWD', |
8972 |
@@ -160,18 +205,38 @@ $language_data = array ( |
8973 |
'DATA_NAME', |
8974 |
'DATA_ONLINE', |
8975 |
'DATA_PAYINFO', |
8976 |
- 'DATA_RATING', |
8977 |
'DATA_SIM_POS', |
8978 |
'DATA_SIM_RATING', |
8979 |
'DATA_SIM_STATUS', |
8980 |
'DEBUG_CHANNEL', |
8981 |
'DEG_TO_RAD', |
8982 |
+ 'DENSITY', |
8983 |
'EOF', |
8984 |
+ 'ERR_GENERIC', |
8985 |
+ 'ERR_MALFORMED_PARAMS', |
8986 |
+ 'ERR_PARCEL_PERMISSIONS', |
8987 |
+ 'ERR_RUNTIME_PERMISSIONS', |
8988 |
+ 'ERR_THROTTLED', |
8989 |
+ 'ESTATE_ACCESS_ALLOWED_AGENT_ADD', |
8990 |
+ 'ESTATE_ACCESS_ALLOWED_AGENT_REMOVE', |
8991 |
+ 'ESTATE_ACCESS_ALLOWED_GROUP_ADD', |
8992 |
+ 'ESTATE_ACCESS_ALLOWED_GROUP_REMOVE', |
8993 |
+ 'ESTATE_ACCESS_BANNED_AGENT_ADD', |
8994 |
+ 'ESTATE_ACCESS_BANNED_AGENT_REMOVE', |
8995 |
'FALSE', |
8996 |
+ 'FORCE_DIRECT_PATH', |
8997 |
+ 'FRICTION', |
8998 |
+ 'GCNP_RADIUS', |
8999 |
+ 'GCNP_STATIC', |
9000 |
+ 'GRAVITY_MULTIPLIER', |
9001 |
+ 'HORIZONTAL', |
9002 |
'HTTP_BODY_MAXLENGTH', |
9003 |
'HTTP_BODY_TRUNCATED', |
9004 |
+ 'HTTP_CUSTOM_HEADER', |
9005 |
'HTTP_METHOD', |
9006 |
'HTTP_MIMETYPE', |
9007 |
+ 'HTTP_PRAGMA_NO_CACHE', |
9008 |
+ 'HTTP_VERBOSE_THROTTLE', |
9009 |
'HTTP_VERIFY_CERT', |
9010 |
'INVENTORY_ALL', |
9011 |
'INVENTORY_ANIMATION', |
9012 |
@@ -185,11 +250,37 @@ $language_data = array ( |
9013 |
'INVENTORY_SCRIPT', |
9014 |
'INVENTORY_SOUND', |
9015 |
'INVENTORY_TEXTURE', |
9016 |
+ 'JSON_APPEND', |
9017 |
+ 'JSON_ARRAY', |
9018 |
+ 'JSON_DELETE', |
9019 |
+ 'JSON_FALSE', |
9020 |
+ 'JSON_INVALID', |
9021 |
+ 'JSON_NULL', |
9022 |
+ 'JSON_NUMBER', |
9023 |
+ 'JSON_OBJECT', |
9024 |
+ 'JSON_STRING', |
9025 |
+ 'JSON_TRUE', |
9026 |
+ 'KFM_CMD_PAUSE', |
9027 |
+ 'KFM_CMD_PLAY', |
9028 |
+ 'KFM_CMD_SET_MODE', |
9029 |
+ 'KFM_CMD_STOP', |
9030 |
+ 'KFM_COMMAND', |
9031 |
+ 'KFM_DATA', |
9032 |
+ 'KFM_FORWARD', |
9033 |
+ 'KFM_LOOP', |
9034 |
+ 'KFM_MODE', |
9035 |
+ 'KFM_PING_PONG', |
9036 |
+ 'KFM_REVERSE', |
9037 |
+ 'KFM_ROTATION', |
9038 |
+ 'KFM_TRANSLATION', |
9039 |
+ 'LAND_LARGE_BRUSH', |
9040 |
'LAND_LEVEL', |
9041 |
'LAND_LOWER', |
9042 |
+ 'LAND_MEDIUM_BRUSH', |
9043 |
'LAND_NOISE', |
9044 |
'LAND_RAISE', |
9045 |
'LAND_REVERT', |
9046 |
+ 'LAND_SMALL_BRUSH', |
9047 |
'LAND_SMOOTH', |
9048 |
'LINK_ALL_CHILDREN', |
9049 |
'LINK_ALL_OTHERS', |
9050 |
@@ -213,20 +304,55 @@ $language_data = array ( |
9051 |
'MASK_NEXT', |
9052 |
'MASK_OWNER', |
9053 |
'NULL_KEY', |
9054 |
+ 'OBJECT_ATTACHED_POINT', |
9055 |
+ 'OBJECT_CHARACTER_TIME', |
9056 |
'OBJECT_CREATOR', |
9057 |
'OBJECT_DESC', |
9058 |
'OBJECT_GROUP', |
9059 |
'OBJECT_NAME', |
9060 |
'OBJECT_OWNER', |
9061 |
+ 'OBJECT_PATHFINDING_TYPE', |
9062 |
+ 'OBJECT_PHANTOM', |
9063 |
+ 'OBJECT_PHYSICS', |
9064 |
+ 'OBJECT_PHYSICS_COST', |
9065 |
'OBJECT_POS', |
9066 |
+ 'OBJECT_PRIM_EQUIVALENCE', |
9067 |
+ 'OBJECT_RENDER_WEIGHT', |
9068 |
+ 'OBJECT_RETURN_PARCEL', |
9069 |
+ 'OBJECT_RETURN_PARCEL_OWNER', |
9070 |
+ 'OBJECT_RETURN_REGION', |
9071 |
+ 'OBJECT_ROOT', |
9072 |
'OBJECT_ROT', |
9073 |
+ 'OBJECT_RUNNING_SCRIPT_COUNT', |
9074 |
+ 'OBJECT_SCRIPT_MEMORY', |
9075 |
+ 'OBJECT_SCRIPT_TIME', |
9076 |
+ 'OBJECT_SERVER_COST', |
9077 |
+ 'OBJECT_STREAMING_COST', |
9078 |
+ 'OBJECT_TEMP_ON_REZ', |
9079 |
+ 'OBJECT_TOTAL_SCRIPT_COUNT', |
9080 |
'OBJECT_UNKNOWN_DETAIL', |
9081 |
'OBJECT_VELOCITY', |
9082 |
+ 'OPT_AVATAR', |
9083 |
+ 'OPT_CHARACTER', |
9084 |
+ 'OPT_EXCLUSION_VOLUME', |
9085 |
+ 'OPT_LEGACY_LINKSET', |
9086 |
+ 'OPT_MATERIAL_VOLUME', |
9087 |
+ 'OPT_OTHER', |
9088 |
+ 'OPT_STATIC_OBSTACLE', |
9089 |
+ 'OPT_WALKABLE', |
9090 |
+ 'PARCEL_COUNT_GROUP', |
9091 |
+ 'PARCEL_COUNT_OTHER', |
9092 |
+ 'PARCEL_COUNT_OWNER', |
9093 |
+ 'PARCEL_COUNT_SELECTED', |
9094 |
+ 'PARCEL_COUNT_TEMP', |
9095 |
+ 'PARCEL_COUNT_TOTAL', |
9096 |
'PARCEL_DETAILS_AREA', |
9097 |
'PARCEL_DETAILS_DESC', |
9098 |
'PARCEL_DETAILS_GROUP', |
9099 |
+ 'PARCEL_DETAILS_ID', |
9100 |
'PARCEL_DETAILS_NAME', |
9101 |
'PARCEL_DETAILS_OWNER', |
9102 |
+ 'PARCEL_DETAILS_SEE_AVATARS', |
9103 |
'PARCEL_FLAG_ALLOW_ALL_OBJECT_ENTRY', |
9104 |
'PARCEL_FLAG_ALLOW_CREATE_GROUP_OBJECTS', |
9105 |
'PARCEL_FLAG_ALLOW_CREATE_OBJECTS', |
9106 |
@@ -246,6 +372,7 @@ $language_data = array ( |
9107 |
'PARCEL_MEDIA_COMMAND_AGENT', |
9108 |
'PARCEL_MEDIA_COMMAND_AUTO_ALIGN', |
9109 |
'PARCEL_MEDIA_COMMAND_DESC', |
9110 |
+ 'PARCEL_MEDIA_COMMAND_LOOP', |
9111 |
'PARCEL_MEDIA_COMMAND_LOOP_SET', |
9112 |
'PARCEL_MEDIA_COMMAND_PAUSE', |
9113 |
'PARCEL_MEDIA_COMMAND_PLAY', |
9114 |
@@ -254,8 +381,10 @@ $language_data = array ( |
9115 |
'PARCEL_MEDIA_COMMAND_TEXTURE', |
9116 |
'PARCEL_MEDIA_COMMAND_TIME', |
9117 |
'PARCEL_MEDIA_COMMAND_TYPE', |
9118 |
+ 'PARCEL_MEDIA_COMMAND_UNLOAD', |
9119 |
'PARCEL_MEDIA_COMMAND_URL', |
9120 |
'PASSIVE', |
9121 |
+ 'PATROL_PAUSE_AT_WAYPOINTS', |
9122 |
'PAYMENT_INFO_ON_FILE', |
9123 |
'PAYMENT_INFO_USED', |
9124 |
'PAY_DEFAULT', |
9125 |
@@ -264,7 +393,11 @@ $language_data = array ( |
9126 |
'PERMISSION_CHANGE_LINKS', |
9127 |
'PERMISSION_CONTROL_CAMERA', |
9128 |
'PERMISSION_DEBIT', |
9129 |
+ 'PERMISSION_OVERRIDE_ANIMATIONS', |
9130 |
+ 'PERMISSION_RETURN_OBJECTS', |
9131 |
+ 'PERMISSION_SILENT_ESTATE_MANAGEMENT', |
9132 |
'PERMISSION_TAKE_CONTROLS', |
9133 |
+ 'PERMISSION_TELEPORT', |
9134 |
'PERMISSION_TRACK_CAMERA', |
9135 |
'PERMISSION_TRIGGER_ANIMATION', |
9136 |
'PERM_ALL', |
9137 |
@@ -273,6 +406,7 @@ $language_data = array ( |
9138 |
'PERM_MOVE', |
9139 |
'PERM_TRANSFER', |
9140 |
'PI', |
9141 |
+ 'PING_PONG', |
9142 |
'PI_BY_TWO', |
9143 |
'PRIM_BUMP_BARK', |
9144 |
'PRIM_BUMP_BLOBS', |
9145 |
@@ -294,43 +428,108 @@ $language_data = array ( |
9146 |
'PRIM_BUMP_WEAVE', |
9147 |
'PRIM_BUMP_WOOD', |
9148 |
'PRIM_COLOR', |
9149 |
+ 'PRIM_DESC', |
9150 |
+ 'PRIM_FLEXIBLE', |
9151 |
'PRIM_FULLBRIGHT', |
9152 |
+ 'PRIM_GLOW', |
9153 |
'PRIM_HOLE_CIRCLE', |
9154 |
'PRIM_HOLE_DEFAULT', |
9155 |
'PRIM_HOLE_SQUARE', |
9156 |
'PRIM_HOLE_TRIANGLE', |
9157 |
+ 'PRIM_LINK_TARGET', |
9158 |
'PRIM_MATERIAL', |
9159 |
'PRIM_MATERIAL_FLESH', |
9160 |
'PRIM_MATERIAL_GLASS', |
9161 |
- 'PRIM_MATERIAL_LIGHT', |
9162 |
'PRIM_MATERIAL_METAL', |
9163 |
'PRIM_MATERIAL_PLASTIC', |
9164 |
'PRIM_MATERIAL_RUBBER', |
9165 |
'PRIM_MATERIAL_STONE', |
9166 |
'PRIM_MATERIAL_WOOD', |
9167 |
+ 'PRIM_MEDIA_ALT_IMAGE_ENABLE', |
9168 |
+ 'PRIM_MEDIA_AUTO_LOOP', |
9169 |
+ 'PRIM_MEDIA_AUTO_PLAY', |
9170 |
+ 'PRIM_MEDIA_AUTO_SCALE', |
9171 |
+ 'PRIM_MEDIA_AUTO_ZOOM', |
9172 |
+ 'PRIM_MEDIA_CONTROLS', |
9173 |
+ 'PRIM_MEDIA_CONTROLS_MINI', |
9174 |
+ 'PRIM_MEDIA_CONTROLS_STANDARD', |
9175 |
+ 'PRIM_MEDIA_CURRENT_URL', |
9176 |
+ 'PRIM_MEDIA_FIRST_CLICK_INTERACT', |
9177 |
+ 'PRIM_MEDIA_HEIGHT_PIXELS', |
9178 |
+ 'PRIM_MEDIA_HOME_URL', |
9179 |
+ 'PRIM_MEDIA_MAX_HEIGHT_PIXELS', |
9180 |
+ 'PRIM_MEDIA_MAX_URL_LENGTH', |
9181 |
+ 'PRIM_MEDIA_MAX_WHITELIST_COUNT', |
9182 |
+ 'PRIM_MEDIA_MAX_WHITELIST_SIZE', |
9183 |
+ 'PRIM_MEDIA_MAX_WIDTH_PIXELS', |
9184 |
+ 'PRIM_MEDIA_PARAM_MAX', |
9185 |
+ 'PRIM_MEDIA_PERMS_CONTROL', |
9186 |
+ 'PRIM_MEDIA_PERMS_INTERACT', |
9187 |
+ 'PRIM_MEDIA_PERM_ANYONE', |
9188 |
+ 'PRIM_MEDIA_PERM_GROUP', |
9189 |
+ 'PRIM_MEDIA_PERM_NONE', |
9190 |
+ 'PRIM_MEDIA_PERM_OWNER', |
9191 |
+ 'PRIM_MEDIA_WHITELIST', |
9192 |
+ 'PRIM_MEDIA_WHITELIST_ENABLE', |
9193 |
+ 'PRIM_MEDIA_WIDTH_PIXELS', |
9194 |
+ 'PRIM_NAME', |
9195 |
+ 'PRIM_OMEGA', |
9196 |
'PRIM_PHANTOM', |
9197 |
'PRIM_PHYSICS', |
9198 |
+ 'PRIM_PHYSICS_SHAPE_CONVEX', |
9199 |
+ 'PRIM_PHYSICS_SHAPE_NONE', |
9200 |
+ 'PRIM_PHYSICS_SHAPE_PRIM', |
9201 |
+ 'PRIM_PHYSICS_SHAPE_TYPE', |
9202 |
+ 'PRIM_POINT_LIGHT', |
9203 |
'PRIM_POSITION', |
9204 |
+ 'PRIM_POS_LOCAL', |
9205 |
'PRIM_ROTATION', |
9206 |
+ 'PRIM_ROT_LOCAL', |
9207 |
+ 'PRIM_SCULPT_FLAG_INVERT', |
9208 |
+ 'PRIM_SCULPT_FLAG_MIRROR', |
9209 |
+ 'PRIM_SCULPT_TYPE_CYLINDER', |
9210 |
+ 'PRIM_SCULPT_TYPE_MASK', |
9211 |
+ 'PRIM_SCULPT_TYPE_PLANE', |
9212 |
+ 'PRIM_SCULPT_TYPE_SPHERE', |
9213 |
+ 'PRIM_SCULPT_TYPE_TORUS', |
9214 |
'PRIM_SHINY_HIGH', |
9215 |
'PRIM_SHINY_LOW', |
9216 |
'PRIM_SHINY_MEDIUM', |
9217 |
'PRIM_SHINY_NONE', |
9218 |
'PRIM_SIZE', |
9219 |
+ 'PRIM_SLICE', |
9220 |
'PRIM_TEMP_ON_REZ', |
9221 |
+ 'PRIM_TEXGEN', |
9222 |
+ 'PRIM_TEXGEN_DEFAULT', |
9223 |
+ 'PRIM_TEXGEN_PLANAR', |
9224 |
+ 'PRIM_TEXT', |
9225 |
'PRIM_TEXTURE', |
9226 |
'PRIM_TYPE', |
9227 |
'PRIM_TYPE_BOX', |
9228 |
'PRIM_TYPE_CYLINDER', |
9229 |
'PRIM_TYPE_PRISM', |
9230 |
'PRIM_TYPE_RING', |
9231 |
+ 'PRIM_TYPE_SCULPT', |
9232 |
'PRIM_TYPE_SPHERE', |
9233 |
'PRIM_TYPE_TORUS', |
9234 |
'PRIM_TYPE_TUBE', |
9235 |
+ 'PROFILE_NONE', |
9236 |
+ 'PROFILE_SCRIPT_MEMORY', |
9237 |
+ 'PSYS_PART_BF_DEST_COLOR', |
9238 |
+ 'PSYS_PART_BF_ONE', |
9239 |
+ 'PSYS_PART_BF_ONE_MINUS_DEST_COLOR', |
9240 |
+ 'PSYS_PART_BF_ONE_MINUS_SOURCE_ALPHA', |
9241 |
+ 'PSYS_PART_BF_ONE_MINUS_SOURCE_COLOR', |
9242 |
+ 'PSYS_PART_BF_SOURCE_ALPHA', |
9243 |
+ 'PSYS_PART_BF_SOURCE_COLOR', |
9244 |
+ 'PSYS_PART_BF_ZERO', |
9245 |
+ 'PSYS_PART_BLEND_FUNC_DEST', |
9246 |
+ 'PSYS_PART_BLEND_FUNC_SOURCE', |
9247 |
'PSYS_PART_BOUNCE_MASK', |
9248 |
'PSYS_PART_EMISSIVE_MASK', |
9249 |
'PSYS_PART_END_ALPHA', |
9250 |
'PSYS_PART_END_COLOR', |
9251 |
+ 'PSYS_PART_END_GLOW', |
9252 |
'PSYS_PART_END_SCALE', |
9253 |
'PSYS_PART_FLAGS', |
9254 |
'PSYS_PART_FOLLOW_SRC_MASK', |
9255 |
@@ -338,8 +537,10 @@ $language_data = array ( |
9256 |
'PSYS_PART_INTERP_COLOR_MASK', |
9257 |
'PSYS_PART_INTERP_SCALE_MASK', |
9258 |
'PSYS_PART_MAX_AGE', |
9259 |
+ 'PSYS_PART_RIBBON_MASK', |
9260 |
'PSYS_PART_START_ALPHA', |
9261 |
'PSYS_PART_START_COLOR', |
9262 |
+ 'PSYS_PART_START_GLOW', |
9263 |
'PSYS_PART_START_SCALE', |
9264 |
'PSYS_PART_TARGET_LINEAR_MASK', |
9265 |
'PSYS_PART_TARGET_POS_MASK', |
9266 |
@@ -352,10 +553,8 @@ $language_data = array ( |
9267 |
'PSYS_SRC_BURST_RATE', |
9268 |
'PSYS_SRC_BURST_SPEED_MAX', |
9269 |
'PSYS_SRC_BURST_SPEED_MIN', |
9270 |
- 'PSYS_SRC_INNERANGLE', |
9271 |
'PSYS_SRC_MAX_AGE', |
9272 |
'PSYS_SRC_OMEGA', |
9273 |
- 'PSYS_SRC_OUTERANGLE', |
9274 |
'PSYS_SRC_PATTERN', |
9275 |
'PSYS_SRC_PATTERN_ANGLE', |
9276 |
'PSYS_SRC_PATTERN_ANGLE_CONE', |
9277 |
@@ -364,13 +563,70 @@ $language_data = array ( |
9278 |
'PSYS_SRC_PATTERN_EXPLODE', |
9279 |
'PSYS_SRC_TARGET_KEY', |
9280 |
'PSYS_SRC_TEXTURE', |
9281 |
+ 'PUBLIC_CHANNEL', |
9282 |
+ 'PURSUIT_FUZZ_FACTOR', |
9283 |
+ 'PURSUIT_GOAL_TOLERANCE', |
9284 |
+ 'PURSUIT_INTERCEPT', |
9285 |
+ 'PURSUIT_OFFSET', |
9286 |
+ 'PU_EVADE_HIDDEN', |
9287 |
+ 'PU_EVADE_SPOTTED', |
9288 |
+ 'PU_FAILURE_DYNAMIC_PATHFINDING_DISABLED', |
9289 |
+ 'PU_FAILURE_INVALID_GOAL', |
9290 |
+ 'PU_FAILURE_INVALID_START', |
9291 |
+ 'PU_FAILURE_NO_NAVMESH', |
9292 |
+ 'PU_FAILURE_NO_VALID_DESTINATION', |
9293 |
+ 'PU_FAILURE_OTHER', |
9294 |
+ 'PU_FAILURE_PARCEL_UNREACHABLE', |
9295 |
+ 'PU_FAILURE_TARGET_GONE', |
9296 |
+ 'PU_FAILURE_UNREACHABLE', |
9297 |
+ 'PU_GOAL_REACHED', |
9298 |
+ 'PU_SLOWDOWN_DISTANCE_REACHED', |
9299 |
'RAD_TO_DEG', |
9300 |
+ 'RCERR_CAST_TIME_EXCEEDED', |
9301 |
+ 'RCERR_SIM_PERF_LOW', |
9302 |
+ 'RCERR_UNKNOWN', |
9303 |
+ 'RC_DATA_FLAGS', |
9304 |
+ 'RC_DETECT_PHANTOM', |
9305 |
+ 'RC_GET_LINK_NUM', |
9306 |
+ 'RC_GET_NORMAL', |
9307 |
+ 'RC_GET_ROOT_KEY', |
9308 |
+ 'RC_MAX_HITS', |
9309 |
+ 'RC_REJECT_AGENTS', |
9310 |
+ 'RC_REJECT_LAND', |
9311 |
+ 'RC_REJECT_NONPHYSICAL', |
9312 |
+ 'RC_REJECT_PHYSICAL', |
9313 |
+ 'RC_REJECT_TYPES', |
9314 |
+ 'REGION_FLAG_ALLOW_DAMAGE', |
9315 |
+ 'REGION_FLAG_ALLOW_DIRECT_TELEPORT', |
9316 |
+ 'REGION_FLAG_BLOCK_FLY', |
9317 |
+ 'REGION_FLAG_BLOCK_TERRAFORM', |
9318 |
+ 'REGION_FLAG_DISABLE_COLLISIONS', |
9319 |
+ 'REGION_FLAG_DISABLE_PHYSICS', |
9320 |
+ 'REGION_FLAG_FIXED_SUN', |
9321 |
+ 'REGION_FLAG_RESTRICT_PUSHOBJECT', |
9322 |
+ 'REGION_FLAG_SANDBOX', |
9323 |
'REMOTE_DATA_CHANNEL', |
9324 |
+ 'REMOTE_DATA_REPLY', |
9325 |
'REMOTE_DATA_REQUEST', |
9326 |
+ 'REQUIRE_LINE_OF_SIGHT', |
9327 |
+ 'RESTITUTION', |
9328 |
+ 'REVERSE', |
9329 |
+ 'ROTATE', |
9330 |
+ 'SCALE', |
9331 |
'SCRIPTED', |
9332 |
+ 'SIM_STAT_PCT_CHARS_STEPPED', |
9333 |
+ 'SMOOTH', |
9334 |
'SQRT2', |
9335 |
'STATUS_BLOCK_GRAB', |
9336 |
+ 'STATUS_BLOCK_GRAB_OBJECT', |
9337 |
+ 'STATUS_BOUNDS_ERROR', |
9338 |
+ 'STATUS_CAST_SHADOWS', |
9339 |
'STATUS_DIE_AT_EDGE', |
9340 |
+ 'STATUS_INTERNAL_ERROR', |
9341 |
+ 'STATUS_MALFORMED_PARAMS', |
9342 |
+ 'STATUS_NOT_FOUND', |
9343 |
+ 'STATUS_NOT_SUPPORTED', |
9344 |
+ 'STATUS_OK', |
9345 |
'STATUS_PHANTOM', |
9346 |
'STATUS_PHYSICS', |
9347 |
'STATUS_RETURN_AT_EDGE', |
9348 |
@@ -378,8 +634,34 @@ $language_data = array ( |
9349 |
'STATUS_ROTATE_Y', |
9350 |
'STATUS_ROTATE_Z', |
9351 |
'STATUS_SANDBOX', |
9352 |
+ 'STATUS_TYPE_MISMATCH', |
9353 |
+ 'STATUS_WHITELIST_FAILED', |
9354 |
+ 'STRING_TRIM', |
9355 |
+ 'STRING_TRIM_HEAD', |
9356 |
+ 'STRING_TRIM_TAIL', |
9357 |
+ 'TEXTURE_BLANK', |
9358 |
+ 'TEXTURE_DEFAULT', |
9359 |
+ 'TEXTURE_MEDIA', |
9360 |
+ 'TEXTURE_PLYWOOD', |
9361 |
+ 'TEXTURE_TRANSPARENT', |
9362 |
+ 'TOUCH_INVALID_FACE', |
9363 |
+ 'TOUCH_INVALID_TEXCOORD', |
9364 |
+ 'TOUCH_INVALID_VECTOR', |
9365 |
+ 'TRAVERSAL_TYPE', |
9366 |
+ 'TRAVERSAL_TYPE_FAST', |
9367 |
+ 'TRAVERSAL_TYPE_NONE', |
9368 |
+ 'TRAVERSAL_TYPE_SLOW', |
9369 |
'TRUE', |
9370 |
'TWO_PI', |
9371 |
+ 'TYPE_FLOAT', |
9372 |
+ 'TYPE_INTEGER', |
9373 |
+ 'TYPE_INVALID', |
9374 |
+ 'TYPE_KEY', |
9375 |
+ 'TYPE_ROTATION', |
9376 |
+ 'TYPE_STRING', |
9377 |
+ 'TYPE_VECTOR', |
9378 |
+ 'URL_REQUEST_DENIED', |
9379 |
+ 'URL_REQUEST_GRANTED', |
9380 |
'VEHICLE_ANGULAR_DEFLECTION_EFFICIENCY', |
9381 |
'VEHICLE_ANGULAR_DEFLECTION_TIMESCALE', |
9382 |
'VEHICLE_ANGULAR_FRICTION_TIMESCALE', |
9383 |
@@ -419,13 +701,15 @@ $language_data = array ( |
9384 |
'VEHICLE_TYPE_SLED', |
9385 |
'VEHICLE_VERTICAL_ATTRACTION_EFFICIENCY', |
9386 |
'VEHICLE_VERTICAL_ATTRACTION_TIMESCALE', |
9387 |
+ 'VERTICAL', |
9388 |
+ 'WANDER_PAUSE_AT_WAYPOINTS', |
9389 |
'ZERO_ROTATION', |
9390 |
'ZERO_VECTOR', |
9391 |
), |
9392 |
3 => array( // handlers |
9393 |
'at_rot_target', |
9394 |
'at_target', |
9395 |
- 'attached', |
9396 |
+ 'attach', |
9397 |
'changed', |
9398 |
'collision', |
9399 |
'collision_end', |
9400 |
@@ -433,6 +717,7 @@ $language_data = array ( |
9401 |
'control', |
9402 |
'dataserver', |
9403 |
'email', |
9404 |
+ 'http_request', |
9405 |
'http_response', |
9406 |
'land_collision', |
9407 |
'land_collision_end', |
9408 |
@@ -447,6 +732,7 @@ $language_data = array ( |
9409 |
'not_at_target', |
9410 |
'object_rez', |
9411 |
'on_rez', |
9412 |
+ 'path_update', |
9413 |
'remote_data', |
9414 |
'run_time_permissions', |
9415 |
'sensor', |
9416 |
@@ -456,12 +742,14 @@ $language_data = array ( |
9417 |
'touch', |
9418 |
'touch_end', |
9419 |
'touch_start', |
9420 |
+ 'transaction_result', |
9421 |
), |
9422 |
4 => array( // data types |
9423 |
'float', |
9424 |
'integer', |
9425 |
'key', |
9426 |
'list', |
9427 |
+ 'quaternion', |
9428 |
'rotation', |
9429 |
'string', |
9430 |
'vector', |
9431 |
@@ -480,6 +768,8 @@ $language_data = array ( |
9432 |
'llAsin', |
9433 |
'llAtan2', |
9434 |
'llAttachToAvatar', |
9435 |
+ 'llAttachToAvatarTemp', |
9436 |
+ 'llAvatarOnLinkSitTarget', |
9437 |
'llAvatarOnSitTarget', |
9438 |
'llAxes2Rot', |
9439 |
'llAxisAngle2Rot', |
9440 |
@@ -487,16 +777,19 @@ $language_data = array ( |
9441 |
'llBase64ToString', |
9442 |
'llBreakAllLinks', |
9443 |
'llBreakLink', |
9444 |
+ 'llCastRay', |
9445 |
'llCeil', |
9446 |
'llClearCameraParams', |
9447 |
+ 'llClearLinkMedia', |
9448 |
+ 'llClearPrimMedia', |
9449 |
'llCloseRemoteDataChannel', |
9450 |
- 'llCloud', |
9451 |
'llCollisionFilter', |
9452 |
'llCollisionSound', |
9453 |
- 'llCollisionSprite', |
9454 |
'llCos', |
9455 |
+ 'llCreateCharacter', |
9456 |
'llCreateLink', |
9457 |
'llCSV2List', |
9458 |
+ 'llDeleteCharacter', |
9459 |
'llDeleteSubList', |
9460 |
'llDeleteSubString', |
9461 |
'llDetachFromAvatar', |
9462 |
@@ -524,31 +817,42 @@ $language_data = array ( |
9463 |
'llEmail', |
9464 |
'llEscapeURL', |
9465 |
'llEuler2Rot', |
9466 |
+ 'llEvade', |
9467 |
+ 'llExecCharacterCmd', |
9468 |
'llFabs', |
9469 |
+ 'llFleeFrom', |
9470 |
'llFloor', |
9471 |
'llForceMouselook', |
9472 |
'llFrand', |
9473 |
+ 'llGenerateKey', |
9474 |
'llGetAccel', |
9475 |
'llGetAgentInfo', |
9476 |
'llGetAgentLanguage', |
9477 |
+ 'llGetAgentList', |
9478 |
'llGetAgentSize', |
9479 |
'llGetAlpha', |
9480 |
'llGetAndResetTime', |
9481 |
'llGetAnimation', |
9482 |
'llGetAnimationList', |
9483 |
+ 'llGetAnimationOverride', |
9484 |
'llGetAttached', |
9485 |
'llGetBoundingBox', |
9486 |
'llGetCameraPos', |
9487 |
'llGetCameraRot', |
9488 |
'llGetCenterOfMass', |
9489 |
+ 'llGetClosestNavPoint', |
9490 |
'llGetColor', |
9491 |
'llGetCreator', |
9492 |
'llGetDate', |
9493 |
+ 'llGetDisplayName', |
9494 |
'llGetEnergy', |
9495 |
+ 'llGetEnv', |
9496 |
'llGetForce', |
9497 |
'llGetFreeMemory', |
9498 |
+ 'llGetFreeURLs', |
9499 |
'llGetGeometricCenter', |
9500 |
'llGetGMTclock', |
9501 |
+ 'llGetHTTPHeader', |
9502 |
'llGetInventoryCreator', |
9503 |
'llGetInventoryKey', |
9504 |
'llGetInventoryName', |
9505 |
@@ -558,13 +862,20 @@ $language_data = array ( |
9506 |
'llGetKey', |
9507 |
'llGetLandOwnerAt', |
9508 |
'llGetLinkKey', |
9509 |
+ 'llGetLinkMedia', |
9510 |
'llGetLinkName', |
9511 |
'llGetLinkNumber', |
9512 |
+ 'llGetLinkNumberOfSides', |
9513 |
+ 'llGetLinkPrimitiveParams', |
9514 |
'llGetListEntryType', |
9515 |
'llGetListLength', |
9516 |
'llGetLocalPos', |
9517 |
'llGetLocalRot', |
9518 |
'llGetMass', |
9519 |
+ 'llGetMassMKS', |
9520 |
+ 'llGetMaxScaleFactor', |
9521 |
+ 'llGetMemoryLimit', |
9522 |
+ 'llGetMinScaleFactor', |
9523 |
'llGetNextEmail', |
9524 |
'llGetNotecardLine', |
9525 |
'llGetNumberOfNotecardLines', |
9526 |
@@ -582,12 +893,15 @@ $language_data = array ( |
9527 |
'llGetParcelDetails', |
9528 |
'llGetParcelFlags', |
9529 |
'llGetParcelMaxPrims', |
9530 |
+ 'llGetParcelMusicURL', |
9531 |
'llGetParcelPrimCount', |
9532 |
'llGetParcelPrimOwners', |
9533 |
'llGetPermissions', |
9534 |
'llGetPermissionsKey', |
9535 |
+ 'llGetPhysicsMaterial', |
9536 |
'llGetPos', |
9537 |
'llGetPrimitiveParams', |
9538 |
+ 'llGetPrimMediaParams', |
9539 |
'llGetRegionAgentCount', |
9540 |
'llGetRegionCorner', |
9541 |
'llGetRegionFlags', |
9542 |
@@ -600,8 +914,11 @@ $language_data = array ( |
9543 |
'llGetScale', |
9544 |
'llGetScriptName', |
9545 |
'llGetScriptState', |
9546 |
+ 'llGetSimStats', |
9547 |
'llGetSimulatorHostname', |
9548 |
+ 'llGetSPMaxMemory', |
9549 |
'llGetStartParameter', |
9550 |
+ 'llGetStaticPath', |
9551 |
'llGetStatus', |
9552 |
'llGetSubString', |
9553 |
'llGetSunDirection', |
9554 |
@@ -614,6 +931,8 @@ $language_data = array ( |
9555 |
'llGetTimestamp', |
9556 |
'llGetTorque', |
9557 |
'llGetUnixTime', |
9558 |
+ 'llGetUsedMemory', |
9559 |
+ 'llGetUsername', |
9560 |
'llGetVel', |
9561 |
'llGetWallclock', |
9562 |
'llGiveInventory', |
9563 |
@@ -625,13 +944,21 @@ $language_data = array ( |
9564 |
'llGroundRepel', |
9565 |
'llGroundSlope', |
9566 |
'llHTTPRequest', |
9567 |
+ 'llHTTPResponse', |
9568 |
'llInsertString', |
9569 |
'llInstantMessage', |
9570 |
'llIntegerToBase64', |
9571 |
+ 'llJson2List', |
9572 |
+ 'llJsonGetValue', |
9573 |
+ 'llJsonSetValue', |
9574 |
+ 'llJsonValueType', |
9575 |
'llKey2Name', |
9576 |
+ 'llLinkParticleSystem', |
9577 |
+ 'llLinkSitTarget', |
9578 |
'llList2CSV', |
9579 |
'llList2Float', |
9580 |
'llList2Integer', |
9581 |
+ 'llList2Json', |
9582 |
'llList2Key', |
9583 |
'llList2List', |
9584 |
'llList2ListStrided', |
9585 |
@@ -654,6 +981,7 @@ $language_data = array ( |
9586 |
'llLoopSound', |
9587 |
'llLoopSoundMaster', |
9588 |
'llLoopSoundSlave', |
9589 |
+ 'llManageEstateAccess', |
9590 |
'llMapDestination', |
9591 |
'llMD5String', |
9592 |
'llMessageLinked', |
9593 |
@@ -661,6 +989,7 @@ $language_data = array ( |
9594 |
'llModifyLand', |
9595 |
'llModPow', |
9596 |
'llMoveToTarget', |
9597 |
+ 'llNavigateTo', |
9598 |
'llOffsetTexture', |
9599 |
'llOpenRemoteDataChannel', |
9600 |
'llOverMyLand', |
9601 |
@@ -672,29 +1001,39 @@ $language_data = array ( |
9602 |
'llParticleSystem', |
9603 |
'llPassCollisions', |
9604 |
'llPassTouches', |
9605 |
+ 'llPatrolPoints', |
9606 |
'llPlaySound', |
9607 |
'llPlaySoundSlave', |
9608 |
'llPow', |
9609 |
'llPreloadSound', |
9610 |
+ 'llPursue', |
9611 |
'llPushObject', |
9612 |
'llRegionSay', |
9613 |
+ 'llRegionSayTo', |
9614 |
'llReleaseControls', |
9615 |
+ 'llReleaseURL', |
9616 |
'llRemoteDataReply', |
9617 |
- 'llRemoteDataSetRegion', |
9618 |
'llRemoteLoadScriptPin', |
9619 |
'llRemoveFromLandBanList', |
9620 |
'llRemoveFromLandPassList', |
9621 |
'llRemoveInventory', |
9622 |
'llRemoveVehicleFlags', |
9623 |
'llRequestAgentData', |
9624 |
+ 'llRequestDisplayName', |
9625 |
'llRequestInventoryData', |
9626 |
'llRequestPermissions', |
9627 |
+ 'llRequestSecureURL', |
9628 |
'llRequestSimulatorData', |
9629 |
+ 'llRequestURL', |
9630 |
+ 'llRequestUsername', |
9631 |
+ 'llResetAnimationOverride', |
9632 |
'llResetLandBanList', |
9633 |
'llResetLandPassList', |
9634 |
'llResetOtherScript', |
9635 |
'llResetScript', |
9636 |
'llResetTime', |
9637 |
+ 'llReturnObjectsByID', |
9638 |
+ 'llReturnObjectsByOwner', |
9639 |
'llRezAtRoot', |
9640 |
'llRezObject', |
9641 |
'llRot2Angle', |
9642 |
@@ -711,34 +1050,48 @@ $language_data = array ( |
9643 |
'llRound', |
9644 |
'llSameGroup', |
9645 |
'llSay', |
9646 |
+ 'llScaleByFactor', |
9647 |
'llScaleTexture', |
9648 |
'llScriptDanger', |
9649 |
+ 'llScriptProfiler', |
9650 |
'llSendRemoteData', |
9651 |
'llSensor', |
9652 |
'llSensorRemove', |
9653 |
'llSensorRepeat', |
9654 |
'llSetAlpha', |
9655 |
+ 'llSetAngularVelocity', |
9656 |
+ 'llSetAnimationOverride', |
9657 |
'llSetBuoyancy', |
9658 |
'llSetCameraAtOffset', |
9659 |
'llSetCameraEyeOffset', |
9660 |
'llSetCameraParams', |
9661 |
'llSetClickAction', |
9662 |
'llSetColor', |
9663 |
+ 'llSetContentType', |
9664 |
'llSetDamage', |
9665 |
'llSetForce', |
9666 |
'llSetForceAndTorque', |
9667 |
'llSetHoverHeight', |
9668 |
+ 'llSetKeyframedMotion', |
9669 |
'llSetLinkAlpha', |
9670 |
+ 'llSetLinkCamera', |
9671 |
'llSetLinkColor', |
9672 |
+ 'llSetLinkMedia', |
9673 |
'llSetLinkPrimitiveParams', |
9674 |
+ 'llSetLinkPrimitiveParamsFast', |
9675 |
'llSetLinkTexture', |
9676 |
+ 'llSetLinkTextureAnim', |
9677 |
'llSetLocalRot', |
9678 |
+ 'llSetMemoryLimit', |
9679 |
'llSetObjectDesc', |
9680 |
'llSetObjectName', |
9681 |
'llSetParcelMusicURL', |
9682 |
'llSetPayPrice', |
9683 |
+ 'llSetPhysicsMaterial', |
9684 |
'llSetPos', |
9685 |
'llSetPrimitiveParams', |
9686 |
+ 'llSetPrimMediaParams', |
9687 |
+ 'llSetRegionPos', |
9688 |
'llSetRemoteScriptAccessPin', |
9689 |
'llSetRot', |
9690 |
'llSetScale', |
9691 |
@@ -758,6 +1111,7 @@ $language_data = array ( |
9692 |
'llSetVehicleRotationParam', |
9693 |
'llSetVehicleType', |
9694 |
'llSetVehicleVectorParam', |
9695 |
+ 'llSetVelocity', |
9696 |
'llSHA1String', |
9697 |
'llShout', |
9698 |
'llSin', |
9699 |
@@ -779,32 +1133,57 @@ $language_data = array ( |
9700 |
'llTarget', |
9701 |
'llTargetOmega', |
9702 |
'llTargetRemove', |
9703 |
+ 'llTeleportAgent', |
9704 |
+ 'llTeleportAgentGlobalCoords', |
9705 |
'llTeleportAgentHome', |
9706 |
+ 'llTextBox', |
9707 |
'llToLower', |
9708 |
'llToUpper', |
9709 |
+ 'llTransferLindenDollars', |
9710 |
'llTriggerSound', |
9711 |
'llTriggerSoundLimited', |
9712 |
'llUnescapeURL', |
9713 |
'llUnSit', |
9714 |
+ 'llUpdateCharacter', |
9715 |
'llVecDist', |
9716 |
'llVecMag', |
9717 |
'llVecNorm', |
9718 |
'llVolumeDetect', |
9719 |
+ 'llWanderWithin', |
9720 |
'llWater', |
9721 |
'llWhisper', |
9722 |
'llWind', |
9723 |
- 'llXorBase64StringsCorrect', |
9724 |
+ 'llXorBase64', |
9725 |
+ 'print', |
9726 |
), |
9727 |
6 => array( // deprecated |
9728 |
+ 'ATTACH_LPEC', |
9729 |
+ 'ATTACH_RPEC', |
9730 |
+ 'DATA_RATING', |
9731 |
+ 'PERMISSION_CHANGE_JOINTS', |
9732 |
+ 'PERMISSION_CHANGE_PERMISSIONS', |
9733 |
+ 'PERMISSION_RELEASE_OWNERSHIP', |
9734 |
+ 'PERMISSION_REMAP_CONTROLS', |
9735 |
+ 'PRIM_CAST_SHADOWS', |
9736 |
+ 'PRIM_MATERIAL_LIGHT', |
9737 |
+ 'PSYS_SRC_INNERANGLE', |
9738 |
+ 'PSYS_SRC_OBJ_REL_MASK', |
9739 |
+ 'PSYS_SRC_OUTERANGLE', |
9740 |
+ 'VEHICLE_FLAG_NO_FLY_UP', |
9741 |
+ 'llCloud', |
9742 |
'llMakeExplosion', |
9743 |
'llMakeFire', |
9744 |
'llMakeFountain', |
9745 |
'llMakeSmoke', |
9746 |
+ 'llRemoteDataSetRegion', |
9747 |
'llSound', |
9748 |
'llSoundPreload', |
9749 |
'llXorBase64Strings', |
9750 |
+ 'llXorBase64StringsCorrect', |
9751 |
), |
9752 |
7 => array( // unimplemented |
9753 |
+ 'event', |
9754 |
+ 'llCollisionSprite', |
9755 |
'llPointAt', |
9756 |
'llRefreshPrimURL', |
9757 |
'llReleaseCamera', |
9758 |
@@ -812,7 +1191,6 @@ $language_data = array ( |
9759 |
'llSetPrimURL', |
9760 |
'llStopPointAt', |
9761 |
'llTakeCamera', |
9762 |
- 'llTextBox', |
9763 |
), |
9764 |
8 => array( // God mode |
9765 |
'llGodLikeRezObject', |
9766 |
@@ -823,10 +1201,10 @@ $language_data = array ( |
9767 |
'SYMBOLS' => array( |
9768 |
'{', '}', '(', ')', '[', ']', |
9769 |
'=', '+', '-', '*', '/', |
9770 |
- '+=', '-=', '*=', '/=', '++', '--', |
9771 |
- '!', '%', '&', '|', '&&', '||', |
9772 |
- '==', '!=', '<', '>', '<=', '>=', |
9773 |
- '~', '<<', '>>', '^', ':', |
9774 |
+ '+=', '-=', '*=', '/=', '%=', '++', '--', |
9775 |
+ '!', '%', '&', '|', '&&', '||', |
9776 |
+ '==', '!=', '<', '>', '<=', '>=', |
9777 |
+ '~', '<<', '>>', '^', ':', |
9778 |
), |
9779 |
'CASE_SENSITIVE' => array( |
9780 |
GESHI_COMMENTS => true, |
9781 |
@@ -852,6 +1230,7 @@ $language_data = array ( |
9782 |
), |
9783 |
'COMMENTS' => array( |
9784 |
1 => 'color: #ff7f50; font-style: italic;', |
9785 |
+ 'MULTI' => 'color: #ff7f50; font-style: italic;', |
9786 |
), |
9787 |
'ESCAPE_CHAR' => array( |
9788 |
0 => 'color: #000099;' |
9789 |
@@ -878,12 +1257,12 @@ $language_data = array ( |
9790 |
'URLS' => array( |
9791 |
1 => '', |
9792 |
2 => '', |
9793 |
- 3 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME} |
9794 |
- 4 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME} |
9795 |
- 5 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME} |
9796 |
- 6 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME} |
9797 |
- 7 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME} |
9798 |
- 8 => 'http://www.lslwiki.net/lslwiki/wakka.php?wakka={FNAME}', // http://wiki.secondlife.com/wiki/{FNAME} |
9799 |
+ 3 => 'http://wiki.secondlife.com/wiki/{FNAME}', |
9800 |
+ 4 => 'http://wiki.secondlife.com/wiki/{FNAME}', |
9801 |
+ 5 => 'http://wiki.secondlife.com/wiki/{FNAME}', |
9802 |
+ 6 => 'http://wiki.secondlife.com/wiki/{FNAME}', |
9803 |
+ 7 => 'http://wiki.secondlife.com/wiki/{FNAME}', |
9804 |
+ 8 => 'http://wiki.secondlife.com/wiki/{FNAME}', |
9805 |
), |
9806 |
'OOLANG' => false, |
9807 |
'OBJECT_SPLITTERS' => array(), |
9808 |
@@ -895,4 +1274,3 @@ $language_data = array ( |
9809 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
9810 |
) |
9811 |
); |
9812 |
-?> |
9813 |
\ No newline at end of file |
9814 |
|
9815 |
diff --git a/plugins/wp-syntax/geshi/geshi/lua.php b/plugins/wp-syntax/geshi/geshi/lua.php |
9816 |
index 8a09ba2..142375d 100644 |
9817 |
--- a/plugins/wp-syntax/geshi/geshi/lua.php |
9818 |
+++ b/plugins/wp-syntax/geshi/geshi/lua.php |
9819 |
@@ -4,7 +4,7 @@ |
9820 |
* ------- |
9821 |
* Author: Roberto Rossi (rsoftware@××××××××××.org) |
9822 |
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter) |
9823 |
- * Release Version: 1.0.8.11 |
9824 |
+ * Release Version: 1.0.8.12 |
9825 |
* Date Started: 2004/07/10 |
9826 |
* |
9827 |
* LUA language file for GeSHi. |
9828 |
@@ -45,8 +45,8 @@ |
9829 |
$language_data = array ( |
9830 |
'LANG_NAME' => 'Lua', |
9831 |
'COMMENT_SINGLE' => array(1 => "--"), |
9832 |
- 'COMMENT_MULTI' => array('--[[' => ']]'), |
9833 |
- 'COMMENT_REGEXP' => array(2 => '/\[(=*)\[.*?\]\1\]/s'), |
9834 |
+ 'COMMENT_MULTI' => array(), |
9835 |
+ 'COMMENT_REGEXP' => array(1 => '/--\[(=*)\[.*?\]\1\]/s'), |
9836 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
9837 |
'QUOTEMARKS' => array("'", '"'), |
9838 |
'ESCAPE_CHAR' => '', |
9839 |
@@ -127,7 +127,7 @@ $language_data = array ( |
9840 |
), |
9841 |
'COMMENTS' => array( |
9842 |
1 => 'color: #808080; font-style: italic;', |
9843 |
- 2 => 'color: #ff0000;', |
9844 |
+ //2 => 'color: #ff0000;', |
9845 |
'MULTI' => 'color: #808080; font-style: italic;' |
9846 |
), |
9847 |
'ESCAPE_CHAR' => array( |
9848 |
@@ -173,5 +173,3 @@ $language_data = array ( |
9849 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
9850 |
) |
9851 |
); |
9852 |
- |
9853 |
-?> |
9854 |
|
9855 |
diff --git a/plugins/wp-syntax/geshi/geshi/m68k.php b/plugins/wp-syntax/geshi/geshi/m68k.php |
9856 |
index 9832157..aff1994 100644 |
9857 |
--- a/plugins/wp-syntax/geshi/geshi/m68k.php |
9858 |
+++ b/plugins/wp-syntax/geshi/geshi/m68k.php |
9859 |
@@ -4,7 +4,7 @@ |
9860 |
* -------- |
9861 |
* Author: Benny Baumann (BenBE@××××××××.de) |
9862 |
* Copyright: (c) 2007 Benny Baumann (http://www.omorphia.de/), Nigel McNie (http://qbnz.com/highlighter) |
9863 |
- * Release Version: 1.0.8.11 |
9864 |
+ * Release Version: 1.0.8.12 |
9865 |
* Date Started: 2007/02/06 |
9866 |
* |
9867 |
* Motorola 68000 Assembler language file for GeSHi. |
9868 |
@@ -139,5 +139,3 @@ $language_data = array ( |
9869 |
), |
9870 |
'TAB_WIDTH' => 8 |
9871 |
); |
9872 |
- |
9873 |
-?> |
9874 |
|
9875 |
diff --git a/plugins/wp-syntax/geshi/geshi/magiksf.php b/plugins/wp-syntax/geshi/geshi/magiksf.php |
9876 |
index 612e160..74e794e 100644 |
9877 |
--- a/plugins/wp-syntax/geshi/geshi/magiksf.php |
9878 |
+++ b/plugins/wp-syntax/geshi/geshi/magiksf.php |
9879 |
@@ -4,7 +4,7 @@ |
9880 |
* -------- |
9881 |
* Author: Sjoerd van Leent (svanleent@×××××.com) |
9882 |
* Copyright: (c) 2010 Sjoerd van Leent |
9883 |
- * Release Version: 1.0.8.11 |
9884 |
+ * Release Version: 1.0.8.12 |
9885 |
* Date Started: 2010/02/15 |
9886 |
* |
9887 |
* MagikSF language file for GeSHi. |
9888 |
@@ -51,7 +51,7 @@ $language_data = array ( |
9889 |
//Multiline-continued single-line comments |
9890 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
9891 |
'QUOTEMARKS' => array("'", '"'), |
9892 |
- 'ESCAPE_CHAR' => '', |
9893 |
+ 'ESCAPE_CHAR' => '', // FIXME: Duplicate array key. null or ''? |
9894 |
'KEYWORDS' => array( |
9895 |
1 => array( |
9896 |
'_block', '_endblock', '_proc', '_endproc', '_loop', '_endloop', |
9897 |
@@ -189,5 +189,3 @@ $language_data = array ( |
9898 |
), |
9899 |
'TAB_WIDTH' => 4 |
9900 |
); |
9901 |
- |
9902 |
-?> |
9903 |
\ No newline at end of file |
9904 |
|
9905 |
diff --git a/plugins/wp-syntax/geshi/geshi/make.php b/plugins/wp-syntax/geshi/geshi/make.php |
9906 |
index 885fa17..4567dab 100644 |
9907 |
--- a/plugins/wp-syntax/geshi/geshi/make.php |
9908 |
+++ b/plugins/wp-syntax/geshi/geshi/make.php |
9909 |
@@ -4,7 +4,7 @@ |
9910 |
* -------- |
9911 |
* Author: Neil Bird <phoenix@××××××.com> |
9912 |
* Copyright: (c) 2008 Neil Bird |
9913 |
- * Release Version: 1.0.8.11 |
9914 |
+ * Release Version: 1.0.8.12 |
9915 |
* Date Started: 2008/08/26 |
9916 |
* |
9917 |
* make language file for GeSHi. |
9918 |
@@ -146,6 +146,4 @@ $language_data = array ( |
9919 |
'SCRIPT_DELIMITERS' => array(), |
9920 |
'HIGHLIGHT_STRICT_BLOCK' => array(), |
9921 |
'TAB_WIDTH' => 8 |
9922 |
-// vim: set sw=4 sts=4 : |
9923 |
); |
9924 |
-?> |
9925 |
|
9926 |
diff --git a/plugins/wp-syntax/geshi/geshi/mapbasic.php b/plugins/wp-syntax/geshi/geshi/mapbasic.php |
9927 |
index 8859c48..2672eb72 100644 |
9928 |
--- a/plugins/wp-syntax/geshi/geshi/mapbasic.php |
9929 |
+++ b/plugins/wp-syntax/geshi/geshi/mapbasic.php |
9930 |
@@ -4,7 +4,7 @@ |
9931 |
* ------ |
9932 |
* Author: Tomasz Berus (t.berus@×××××××××××.pl) |
9933 |
* Copyright: (c) 2009 Tomasz Berus (http://sourceforge.net/projects/mbsyntax/) |
9934 |
- * Release Version: 1.0.8.11 |
9935 |
+ * Release Version: 1.0.8.12 |
9936 |
* Date Started: 2008/11/25 |
9937 |
* |
9938 |
* MapBasic language file for GeSHi. |
9939 |
@@ -904,5 +904,3 @@ $language_data = array ( |
9940 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
9941 |
), |
9942 |
); |
9943 |
- |
9944 |
-?> |
9945 |
\ No newline at end of file |
9946 |
|
9947 |
diff --git a/plugins/wp-syntax/geshi/geshi/matlab.php b/plugins/wp-syntax/geshi/geshi/matlab.php |
9948 |
index 7cdd50e..ee275f0 100644 |
9949 |
--- a/plugins/wp-syntax/geshi/geshi/matlab.php |
9950 |
+++ b/plugins/wp-syntax/geshi/geshi/matlab.php |
9951 |
@@ -4,7 +4,7 @@ |
9952 |
* ----------- |
9953 |
* Author: Florian Knorn (floz@×××.de) |
9954 |
* Copyright: (c) 2004 Florian Knorn (http://www.florian-knorn.com) |
9955 |
- * Release Version: 1.0.8.11 |
9956 |
+ * Release Version: 1.0.8.12 |
9957 |
* Date Started: 2005/02/09 |
9958 |
* |
9959 |
* Matlab M-file language file for GeSHi. |
9960 |
@@ -223,5 +223,3 @@ $language_data = array ( |
9961 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
9962 |
) |
9963 |
); |
9964 |
- |
9965 |
-?> |
9966 |
\ No newline at end of file |
9967 |
|
9968 |
diff --git a/plugins/wp-syntax/geshi/geshi/mirc.php b/plugins/wp-syntax/geshi/geshi/mirc.php |
9969 |
index fa2f307..4b62bc4 100644 |
9970 |
--- a/plugins/wp-syntax/geshi/geshi/mirc.php |
9971 |
+++ b/plugins/wp-syntax/geshi/geshi/mirc.php |
9972 |
@@ -4,7 +4,7 @@ |
9973 |
* ----- |
9974 |
* Author: Alberto 'Birckin' de Areba (Birckin@×××××××.com) |
9975 |
* Copyright: (c) 2006 Alberto de Areba |
9976 |
- * Release Version: 1.0.8.11 |
9977 |
+ * Release Version: 1.0.8.12 |
9978 |
* Date Started: 2006/05/29 |
9979 |
* |
9980 |
* mIRC Scripting language file for GeSHi. |
9981 |
@@ -167,5 +167,3 @@ $language_data = array ( |
9982 |
) |
9983 |
) |
9984 |
); |
9985 |
- |
9986 |
-?> |
9987 |
\ No newline at end of file |
9988 |
|
9989 |
diff --git a/plugins/wp-syntax/geshi/geshi/mmix.php b/plugins/wp-syntax/geshi/geshi/mmix.php |
9990 |
index 60b6e28..b4dacdb 100644 |
9991 |
--- a/plugins/wp-syntax/geshi/geshi/mmix.php |
9992 |
+++ b/plugins/wp-syntax/geshi/geshi/mmix.php |
9993 |
@@ -4,7 +4,7 @@ |
9994 |
* ------- |
9995 |
* Author: Benny Baumann (BenBE@×××××.org) |
9996 |
* Copyright: (c) 2009 Benny Baumann (http://qbnz.com/highlighter/) |
9997 |
- * Release Version: 1.0.8.11 |
9998 |
+ * Release Version: 1.0.8.12 |
9999 |
* Date Started: 2009/10/16 |
10000 |
* |
10001 |
* MMIX Assembler language file for GeSHi. |
10002 |
@@ -189,5 +189,3 @@ $language_data = array ( |
10003 |
) |
10004 |
) |
10005 |
); |
10006 |
- |
10007 |
-?> |
10008 |
\ No newline at end of file |
10009 |
|
10010 |
diff --git a/plugins/wp-syntax/geshi/geshi/modula2.php b/plugins/wp-syntax/geshi/geshi/modula2.php |
10011 |
index 1850834..522f46c 100644 |
10012 |
--- a/plugins/wp-syntax/geshi/geshi/modula2.php |
10013 |
+++ b/plugins/wp-syntax/geshi/geshi/modula2.php |
10014 |
@@ -4,7 +4,7 @@ |
10015 |
* ----------- |
10016 |
* Author: Benjamin Kowarsch (benjamin@×××××××.net) |
10017 |
* Copyright: (c) 2009 Benjamin Kowarsch (benjamin@×××××××.net) |
10018 |
- * Release Version: 1.0.8.11 |
10019 |
+ * Release Version: 1.0.8.12 |
10020 |
* Date Started: 2009/11/05 |
10021 |
* |
10022 |
* Modula-2 language file for GeSHi. |
10023 |
@@ -132,5 +132,3 @@ $language_data = array ( |
10024 |
), |
10025 |
'TAB_WIDTH' => 4 |
10026 |
); |
10027 |
- |
10028 |
-?> |
10029 |
\ No newline at end of file |
10030 |
|
10031 |
diff --git a/plugins/wp-syntax/geshi/geshi/modula3.php b/plugins/wp-syntax/geshi/geshi/modula3.php |
10032 |
index ae08dcf..3b40a68 100644 |
10033 |
--- a/plugins/wp-syntax/geshi/geshi/modula3.php |
10034 |
+++ b/plugins/wp-syntax/geshi/geshi/modula3.php |
10035 |
@@ -4,7 +4,7 @@ |
10036 |
* ---------- |
10037 |
* Author: mbishop (mbishop@××××××××.org) |
10038 |
* Copyright: (c) 2009 mbishop (mbishop@××××××××.org) |
10039 |
- * Release Version: 1.0.8.11 |
10040 |
+ * Release Version: 1.0.8.12 |
10041 |
* Date Started: 2009/01/21 |
10042 |
* |
10043 |
* Modula-3 language file for GeSHi. |
10044 |
@@ -131,5 +131,3 @@ $language_data = array ( |
10045 |
), |
10046 |
'TAB_WIDTH' => 4 |
10047 |
); |
10048 |
- |
10049 |
-?> |
10050 |
\ No newline at end of file |
10051 |
|
10052 |
diff --git a/plugins/wp-syntax/geshi/geshi/mpasm.php b/plugins/wp-syntax/geshi/geshi/mpasm.php |
10053 |
index f724a94..3bdc1a0 100644 |
10054 |
--- a/plugins/wp-syntax/geshi/geshi/mpasm.php |
10055 |
+++ b/plugins/wp-syntax/geshi/geshi/mpasm.php |
10056 |
@@ -4,7 +4,7 @@ |
10057 |
* --------- |
10058 |
* Author: Bakalex (bakalex@×××××.com) |
10059 |
* Copyright: (c) 2004 Bakalex, Nigel McNie (http://qbnz.com/highlighter) |
10060 |
- * Release Version: 1.0.8.11 |
10061 |
+ * Release Version: 1.0.8.12 |
10062 |
* Date Started: 2004/12/6 |
10063 |
* |
10064 |
* Microchip Assembler language file for GeSHi. |
10065 |
@@ -160,5 +160,3 @@ $language_data = array ( |
10066 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
10067 |
) |
10068 |
); |
10069 |
- |
10070 |
-?> |
10071 |
|
10072 |
diff --git a/plugins/wp-syntax/geshi/geshi/mxml.php b/plugins/wp-syntax/geshi/geshi/mxml.php |
10073 |
index 0cc8287..53945f9 100644 |
10074 |
--- a/plugins/wp-syntax/geshi/geshi/mxml.php |
10075 |
+++ b/plugins/wp-syntax/geshi/geshi/mxml.php |
10076 |
@@ -4,7 +4,7 @@ |
10077 |
* ------- |
10078 |
* Author: David Spurr |
10079 |
* Copyright: (c) 2007 David Spurr (http://www.defusion.org.uk/) |
10080 |
- * Release Version: 1.0.8.11 |
10081 |
+ * Release Version: 1.0.8.12 |
10082 |
* Date Started: 2007/10/04 |
10083 |
* |
10084 |
* MXML language file for GeSHi. Based on the XML file by Nigel McNie |
10085 |
@@ -141,5 +141,3 @@ $language_data = array ( |
10086 |
), |
10087 |
'TAB_WIDTH' => 4 |
10088 |
); |
10089 |
- |
10090 |
-?> |
10091 |
|
10092 |
diff --git a/plugins/wp-syntax/geshi/geshi/mysql.php b/plugins/wp-syntax/geshi/geshi/mysql.php |
10093 |
index 507da2d..ff36cde 100644 |
10094 |
--- a/plugins/wp-syntax/geshi/geshi/mysql.php |
10095 |
+++ b/plugins/wp-syntax/geshi/geshi/mysql.php |
10096 |
@@ -4,7 +4,7 @@ |
10097 |
* --------- |
10098 |
* Author: Marjolein Katsma (marjolein.is.back@×××××.com) |
10099 |
* Copyright: (c) 2008 Marjolein Katsma (http://blog.marjoleinkatsma.com/) |
10100 |
- * Release Version: 1.0.8.11 |
10101 |
+ * Release Version: 1.0.8.12 |
10102 |
* Date Started: 2008-12-12 |
10103 |
* |
10104 |
* MySQL language file for GeSHi. |
10105 |
@@ -313,7 +313,6 @@ $language_data = array ( |
10106 |
11 => false, |
10107 |
12 => false, |
10108 |
13 => false, |
10109 |
- 13 => false, |
10110 |
14 => false, |
10111 |
15 => false, |
10112 |
16 => false, |
10113 |
@@ -471,5 +470,3 @@ $language_data = array ( |
10114 |
) |
10115 |
) |
10116 |
); |
10117 |
- |
10118 |
-?> |
10119 |
\ No newline at end of file |
10120 |
|
10121 |
diff --git a/plugins/wp-syntax/geshi/geshi/nagios.php b/plugins/wp-syntax/geshi/geshi/nagios.php |
10122 |
index 32cbaef..6dec5df 100644 |
10123 |
--- a/plugins/wp-syntax/geshi/geshi/nagios.php |
10124 |
+++ b/plugins/wp-syntax/geshi/geshi/nagios.php |
10125 |
@@ -4,7 +4,7 @@ |
10126 |
* -------- |
10127 |
* Author: Albéric de Pertat <alberic@××××××××.net> |
10128 |
* Copyright: (c) 2012 Albéric de Pertat (https://github.com/adepertat/geshi-nagios) |
10129 |
- * Release Version: 1.0.8.11 |
10130 |
+ * Release Version: 1.0.8.12 |
10131 |
* Date Started: 2012/01/19 |
10132 |
* |
10133 |
* Nagios language file for GeSHi. |
10134 |
@@ -221,5 +221,3 @@ $language_data = array( |
10135 |
) |
10136 |
) |
10137 |
); |
10138 |
- |
10139 |
-?> |
10140 |
|
10141 |
diff --git a/plugins/wp-syntax/geshi/geshi/netrexx.php b/plugins/wp-syntax/geshi/geshi/netrexx.php |
10142 |
index 14a2d23..0ef4be0 100644 |
10143 |
--- a/plugins/wp-syntax/geshi/geshi/netrexx.php |
10144 |
+++ b/plugins/wp-syntax/geshi/geshi/netrexx.php |
10145 |
@@ -6,7 +6,7 @@ |
10146 |
* Contributors: |
10147 |
* - Walter Pachl (pachl@××××××.at) |
10148 |
* Copyright: (c) 2008 Jon Wolfers, (c) 2012 Walter Pachl |
10149 |
- * Release Version: 1.0.8.11 |
10150 |
+ * Release Version: 1.0.8.12 |
10151 |
* Date Started: 2008/01/07 |
10152 |
* |
10153 |
* NetRexx language file for GeSHi. |
10154 |
@@ -159,5 +159,3 @@ $language_data = array ( |
10155 |
), |
10156 |
'TAB_WIDTH' => 4 |
10157 |
); |
10158 |
- |
10159 |
-?> |
10160 |
|
10161 |
diff --git a/plugins/wp-syntax/geshi/geshi/newlisp.php b/plugins/wp-syntax/geshi/geshi/newlisp.php |
10162 |
index 0dc6c16..134ccfc 100644 |
10163 |
--- a/plugins/wp-syntax/geshi/geshi/newlisp.php |
10164 |
+++ b/plugins/wp-syntax/geshi/geshi/newlisp.php |
10165 |
@@ -4,7 +4,7 @@ |
10166 |
* ---------- |
10167 |
* Author: cormullion (cormullion@×××.com) Sept 2009 |
10168 |
* Copyright: (c) 2009 Cormullion (http://unbalanced-parentheses.nfshost.com/) |
10169 |
- * Release Version: 1.0.8.11 |
10170 |
+ * Release Version: 1.0.8.12 |
10171 |
* Date Started: 2009/09/30 |
10172 |
* |
10173 |
* newLISP language file for GeSHi. |
10174 |
@@ -187,5 +187,3 @@ $language_data = array ( |
10175 |
), |
10176 |
|
10177 |
); |
10178 |
- |
10179 |
-?> |
10180 |
\ No newline at end of file |
10181 |
|
10182 |
diff --git a/plugins/wp-syntax/geshi/geshi/nginx.php b/plugins/wp-syntax/geshi/geshi/nginx.php |
10183 |
new file mode 100644 |
10184 |
index 0000000..0d4fe3b |
10185 |
--- /dev/null |
10186 |
+++ b/plugins/wp-syntax/geshi/geshi/nginx.php |
10187 |
@@ -0,0 +1,868 @@ |
10188 |
+<?php |
10189 |
+/************************************************************************************* |
10190 |
+ * nginx.php |
10191 |
+ * ------ |
10192 |
+ * Author: Cliff Wells (cliff@×××××.org) |
10193 |
+ * Copyright: (c) Cliff Wells (http://wiki.nginx.org/CliffWells) |
10194 |
+ * Contributors: |
10195 |
+ * - Deoren Moor (http://www.whyaskwhy.org/blog/) |
10196 |
+ * - Thomas Joiner |
10197 |
+ * Release Version: 1.0.8.12 |
10198 |
+ * Date Started: 2010/08/24 |
10199 |
+ * |
10200 |
+ * nginx language file for GeSHi. |
10201 |
+ * |
10202 |
+ * Original release found at http://forum.nginx.org/read.php?2,123194,123210 |
10203 |
+ * |
10204 |
+ * CHANGES |
10205 |
+ * ------- |
10206 |
+ * 2012/08/29 |
10207 |
+ * - Clean up the duplicate keywords |
10208 |
+ * |
10209 |
+ * 2012/08/26 |
10210 |
+ * - Synchronized with directives listed on wiki/doc pages |
10211 |
+ * - Misc formatting tweaks and language fixes to pass langcheck |
10212 |
+ * |
10213 |
+ * 2010/08/24 |
10214 |
+ * - First Release |
10215 |
+ * |
10216 |
+ * TODO (updated 2012/08/26) |
10217 |
+ * ------------------------- |
10218 |
+ * - Verify PARSER_CONTROL items are correct |
10219 |
+ * - Verify REGEXPS |
10220 |
+ * - Verify ['STYLES']['REGEXPS'] entries |
10221 |
+ * |
10222 |
+ * |
10223 |
+ ************************************************************************************* |
10224 |
+ * |
10225 |
+ * This file is part of GeSHi. |
10226 |
+ * |
10227 |
+ * GeSHi is free software; you can redistribute it and/or modify |
10228 |
+ * it under the terms of the GNU General Public License as published by |
10229 |
+ * the Free Software Foundation; either version 2 of the License, or |
10230 |
+ * (at your option) any later version. |
10231 |
+ * |
10232 |
+ * GeSHi is distributed in the hope that it will be useful, |
10233 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
10234 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
10235 |
+ * GNU General Public License for more details. |
10236 |
+ * |
10237 |
+ * You should have received a copy of the GNU General Public License |
10238 |
+ * along with GeSHi; if not, write to the Free Software |
10239 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
10240 |
+ * |
10241 |
+ ************************************************************************************/ |
10242 |
+ |
10243 |
+$language_data = array ( |
10244 |
+ 'LANG_NAME' => 'nginx', |
10245 |
+ 'COMMENT_SINGLE' => array(1 => '#'), |
10246 |
+ 'COMMENT_MULTI' => array(), |
10247 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
10248 |
+ 'QUOTEMARKS' => array("'", '"'), |
10249 |
+ 'ESCAPE_CHAR' => '\\', |
10250 |
+ 'KEYWORDS' => array( |
10251 |
+ 1 => array( // core module |
10252 |
+ // http://wiki.nginx.org/CoreModule |
10253 |
+ // http://nginx.org/en/docs/ngx_core_module.html |
10254 |
+ 'daemon', |
10255 |
+ 'debug_points', |
10256 |
+ 'env', |
10257 |
+ 'error_log', |
10258 |
+ 'events', |
10259 |
+ 'include', |
10260 |
+ 'lock_file', |
10261 |
+ 'master_process', |
10262 |
+ 'pcre_jit', |
10263 |
+ 'pid', |
10264 |
+ 'ssl_engine', |
10265 |
+ 'timer_resolution', |
10266 |
+ 'user', |
10267 |
+ 'worker_cpu_affinity', |
10268 |
+ 'worker_priority', |
10269 |
+ 'worker_processes', |
10270 |
+ 'worker_rlimit_core', |
10271 |
+ 'worker_rlimit_nofile', |
10272 |
+ 'worker_rlimit_sigpending', |
10273 |
+ 'working_directory', |
10274 |
+ // see EventsModule due to organization of wiki |
10275 |
+ //'accept_mutex', |
10276 |
+ //'accept_mutex_delay', |
10277 |
+ //'debug_connection', |
10278 |
+ //'multi_accept', |
10279 |
+ //'use', |
10280 |
+ //'worker_connections', |
10281 |
+ ), |
10282 |
+ 2 => array( // events module |
10283 |
+ // http://wiki.nginx.org/EventsModule |
10284 |
+ // http://nginx.org/en/docs/ngx_core_module.html |
10285 |
+ 'accept_mutex', |
10286 |
+ 'accept_mutex_delay', |
10287 |
+ 'debug_connection', |
10288 |
+ 'devpoll_changes', |
10289 |
+ 'devpoll_events', |
10290 |
+ 'kqueue_changes', |
10291 |
+ 'kqueue_events', |
10292 |
+ 'epoll_events', |
10293 |
+ 'multi_accept', |
10294 |
+ 'rtsig_signo', |
10295 |
+ 'rtsig_overflow_events', |
10296 |
+ 'rtsig_overflow_test', |
10297 |
+ 'rtsig_overflow_threshold', |
10298 |
+ 'use', |
10299 |
+ 'worker_connections', |
10300 |
+ ), |
10301 |
+ 3 => array( // http module |
10302 |
+ // http://wiki.nginx.org/HttpCoreModule |
10303 |
+ // http://nginx.org/en/docs/http/ngx_http_core_module.html |
10304 |
+ 'aio', |
10305 |
+ 'alias', |
10306 |
+ 'chunked_transfer_encoding', |
10307 |
+ 'client_body_buffer_size', |
10308 |
+ 'client_body_in_file_only', |
10309 |
+ 'client_body_in_single_buffer', |
10310 |
+ 'client_body_temp_path', |
10311 |
+ 'client_body_timeout', |
10312 |
+ 'client_header_buffer_size', |
10313 |
+ 'client_header_timeout', |
10314 |
+ 'client_max_body_size', |
10315 |
+ 'connection_pool_size', |
10316 |
+ 'default_type', |
10317 |
+ 'directio', |
10318 |
+ 'directio_alignment', |
10319 |
+ 'disable_symlinks', |
10320 |
+ 'error_page', |
10321 |
+ 'etag', |
10322 |
+ 'http', |
10323 |
+ 'if_modified_since', |
10324 |
+ 'ignore_invalid_headers', |
10325 |
+ 'internal', |
10326 |
+ 'keepalive_disable', |
10327 |
+ 'keepalive_requests', |
10328 |
+ 'keepalive_timeout', |
10329 |
+ 'large_client_header_buffers', |
10330 |
+ 'limit_except', |
10331 |
+ 'limit_rate', |
10332 |
+ 'limit_rate_after', |
10333 |
+ 'lingering_close', |
10334 |
+ 'lingering_time', |
10335 |
+ 'lingering_timeout', |
10336 |
+ 'listen', |
10337 |
+ 'location', |
10338 |
+ 'log_not_found', |
10339 |
+ 'log_subrequest', |
10340 |
+ 'max_ranges', |
10341 |
+ 'merge_slashes', |
10342 |
+ 'msie_padding', |
10343 |
+ 'msie_refresh', |
10344 |
+ 'open_file_cache', |
10345 |
+ 'open_file_cache_errors', |
10346 |
+ 'open_file_cache_min_uses', |
10347 |
+ 'open_file_cache_valid', |
10348 |
+ 'optimize_server_names', |
10349 |
+ 'port_in_redirect', |
10350 |
+ 'postpone_output', |
10351 |
+ 'read_ahead', |
10352 |
+ 'recursive_error_pages', |
10353 |
+ 'request_pool_size', |
10354 |
+ 'reset_timedout_connection', |
10355 |
+ 'resolver', |
10356 |
+ 'resolver_timeout', |
10357 |
+ 'root', |
10358 |
+ 'satisfy', |
10359 |
+ 'satisfy_any', |
10360 |
+ 'send_lowat', |
10361 |
+ 'send_timeout', |
10362 |
+ 'sendfile', |
10363 |
+ 'sendfile_max_chunk', |
10364 |
+ 'server', |
10365 |
+ 'server_name', |
10366 |
+ 'server_name_in_redirect', |
10367 |
+ 'server_names_hash_bucket_size', |
10368 |
+ 'server_names_hash_max_size', |
10369 |
+ 'server_tokens', |
10370 |
+ 'tcp_nodelay', |
10371 |
+ 'tcp_nopush', |
10372 |
+ 'try_files', |
10373 |
+ 'types', |
10374 |
+ 'types_hash_bucket_size', |
10375 |
+ 'types_hash_max_size', |
10376 |
+ 'underscores_in_headers', |
10377 |
+ 'variables_hash_bucket_size', |
10378 |
+ 'variables_hash_max_size', |
10379 |
+ ), |
10380 |
+ 4 => array( // upstream module |
10381 |
+ // http://wiki.nginx.org/HttpUpstreamModule |
10382 |
+ // http://nginx.org/en/docs/http/ngx_http_upstream_module.html |
10383 |
+ 'ip_hash', |
10384 |
+ 'keepalive', |
10385 |
+ 'least_conn', |
10386 |
+ // Use the documentation from the core module since every conf will have at least one of those. |
10387 |
+ //'server', |
10388 |
+ 'upstream', |
10389 |
+ ), |
10390 |
+ 5 => array( // access module |
10391 |
+ // http://wiki.nginx.org/HttpAccessModule |
10392 |
+ // http://nginx.org/en/docs/http/ngx_http_access_module.html |
10393 |
+ 'deny', |
10394 |
+ 'allow', |
10395 |
+ ), |
10396 |
+ 6 => array( // auth basic module |
10397 |
+ // http://wiki.nginx.org/HttpAuthBasicModule |
10398 |
+ // http://nginx.org/en/docs/http/ngx_http_auth_basic_module.html |
10399 |
+ 'auth_basic', |
10400 |
+ 'auth_basic_user_file' |
10401 |
+ ), |
10402 |
+ 7 => array( // auto index module |
10403 |
+ // http://wiki.nginx.org/HttpAutoindexModule |
10404 |
+ // http://nginx.org/en/docs/http/ngx_http_autoindex_module.html |
10405 |
+ 'autoindex', |
10406 |
+ 'autoindex_exact_size', |
10407 |
+ 'autoindex_localtime', |
10408 |
+ ), |
10409 |
+ 8 => array( // browser module |
10410 |
+ // http://wiki.nginx.org/HttpBrowserModule |
10411 |
+ // http://nginx.org/en/docs/http/ngx_http_browser_module.html |
10412 |
+ 'ancient_browser', |
10413 |
+ 'ancient_browser_value', |
10414 |
+ 'modern_browser', |
10415 |
+ 'modern_browser_value', |
10416 |
+ ), |
10417 |
+ 9 => array( // charset module |
10418 |
+ // http://wiki.nginx.org/HttpCharsetModule |
10419 |
+ // http://nginx.org/en/docs/http/ngx_http_charset_module.html |
10420 |
+ 'charset', |
10421 |
+ 'charset_map', |
10422 |
+ 'charset_types', |
10423 |
+ 'override_charset', |
10424 |
+ 'source_charset', |
10425 |
+ ), |
10426 |
+ 10 => array( // empty gif module |
10427 |
+ // http://wiki.nginx.org/HttpEmptyGifModule |
10428 |
+ // http://nginx.org/en/docs/http/ngx_http_empty_gif_module.html |
10429 |
+ 'empty_gif', |
10430 |
+ ), |
10431 |
+ 11 => array( // fastcgi module |
10432 |
+ // http://wiki.nginx.org/HttpFastcgiModule |
10433 |
+ // http://nginx.org/en/docs/http/ngx_http_fastcgi_module.html |
10434 |
+ 'fastcgi_bind', |
10435 |
+ 'fastcgi_buffer_size', |
10436 |
+ 'fastcgi_buffers', |
10437 |
+ 'fastcgi_busy_buffers_size', |
10438 |
+ 'fastcgi_cache', |
10439 |
+ 'fastcgi_cache_bypass', |
10440 |
+ 'fastcgi_cache_key', |
10441 |
+ 'fastcgi_cache_lock', |
10442 |
+ 'fastcgi_cache_lock_timeout', |
10443 |
+ 'fastcgi_cache_methods', |
10444 |
+ 'fastcgi_cache_min_uses', |
10445 |
+ 'fastcgi_cache_path', |
10446 |
+ 'fastcgi_cache_use_stale', |
10447 |
+ 'fastcgi_cache_valid', |
10448 |
+ 'fastcgi_connect_timeout', |
10449 |
+ 'fastcgi_hide_header', |
10450 |
+ 'fastcgi_ignore_client_abort', |
10451 |
+ 'fastcgi_ignore_headers', |
10452 |
+ 'fastcgi_index', |
10453 |
+ 'fastcgi_intercept_errors', |
10454 |
+ 'fastcgi_keep_conn', |
10455 |
+ 'fastcgi_max_temp_file_size', |
10456 |
+ 'fastcgi_next_upstream', |
10457 |
+ 'fastcgi_no_cache', |
10458 |
+ 'fastcgi_param', |
10459 |
+ 'fastcgi_pass', |
10460 |
+ 'fastcgi_pass_header', |
10461 |
+ 'fastcgi_pass_request_body', |
10462 |
+ 'fastcgi_pass_request_headers', |
10463 |
+ 'fastcgi_read_timeout', |
10464 |
+ 'fastcgi_redirect_errors', |
10465 |
+ 'fastcgi_send_timeout', |
10466 |
+ 'fastcgi_split_path_info', |
10467 |
+ 'fastcgi_store', |
10468 |
+ 'fastcgi_store_access', |
10469 |
+ 'fastcgi_temp_file_write_size', |
10470 |
+ 'fastcgi_temp_path', |
10471 |
+ ), |
10472 |
+ 12 => array( // geo module |
10473 |
+ // http://wiki.nginx.org/HttpGeoModule |
10474 |
+ // http://nginx.org/en/docs/http/ngx_http_geo_module.html |
10475 |
+ 'geo' |
10476 |
+ ), |
10477 |
+ 13 => array( // gzip module |
10478 |
+ // http://wiki.nginx.org/HttpGzipModule |
10479 |
+ // http://nginx.org/en/docs/http/ngx_http_gzip_module.html |
10480 |
+ 'gzip', |
10481 |
+ 'gzip_buffers', |
10482 |
+ 'gzip_comp_level', |
10483 |
+ 'gzip_disable', |
10484 |
+ 'gzip_min_length', |
10485 |
+ 'gzip_http_version', |
10486 |
+ 'gzip_proxied', |
10487 |
+ 'gzip_types', |
10488 |
+ 'gzip_vary', |
10489 |
+ ), |
10490 |
+ 14 => array( // headers module |
10491 |
+ // http://wiki.nginx.org/HttpHeadersModule |
10492 |
+ // http://nginx.org/en/docs/http/ngx_http_headers_module.html |
10493 |
+ 'add_header', |
10494 |
+ 'expires', |
10495 |
+ ), |
10496 |
+ 15 => array( // index module |
10497 |
+ // http://wiki.nginx.org/HttpIndexModule |
10498 |
+ // http://nginx.org/en/docs/http/ngx_http_index_module.html |
10499 |
+ 'index', |
10500 |
+ ), |
10501 |
+ 16 => array( // limit requests module |
10502 |
+ // http://wiki.nginx.org/HttpLimitReqModule |
10503 |
+ // http://nginx.org/en/docs/http/ngx_http_limit_req_module.html |
10504 |
+ 'limit_req', |
10505 |
+ 'limit_req_log_level', |
10506 |
+ 'limit_req_zone', |
10507 |
+ ), |
10508 |
+ 17 => array( // referer module |
10509 |
+ // http://wiki.nginx.org/HttpRefererModule |
10510 |
+ // http://nginx.org/en/docs/http/ngx_http_referer_module.html |
10511 |
+ 'referer_hash_bucket_size', |
10512 |
+ 'referer_hash_max_size', |
10513 |
+ 'valid_referers', |
10514 |
+ ), |
10515 |
+ 18 => array( // limit zone module |
10516 |
+ // deprecated in 1.1.8 |
10517 |
+ // http://wiki.nginx.org/HttpLimitZoneModule |
10518 |
+ 'limit_zone', |
10519 |
+ // Covered by documentation for ngx_http_limit_conn_module |
10520 |
+ //'limit_conn', |
10521 |
+ ), |
10522 |
+ 19 => array( // limit connection module |
10523 |
+ // http://wiki.nginx.org/HttpLimitConnModule |
10524 |
+ // http://nginx.org/en/docs/http/ngx_http_limit_conn_module.html |
10525 |
+ 'limit_conn', |
10526 |
+ 'limit_conn_zone', |
10527 |
+ 'limit_conn_log_level', |
10528 |
+ ), |
10529 |
+ 20 => array( // log module |
10530 |
+ // http://wiki.nginx.org/HttpLogModule |
10531 |
+ // http://nginx.org/en/docs/http/ngx_http_log_module.html |
10532 |
+ 'access_log', |
10533 |
+ 'log_format', |
10534 |
+ // Appears to be deprecated |
10535 |
+ 'log_format_combined', |
10536 |
+ 'open_log_file_cache', |
10537 |
+ ), |
10538 |
+ 21 => array( // map module |
10539 |
+ // http://wiki.nginx.org/HttpMapModule |
10540 |
+ // http://nginx.org/en/docs/http/ngx_http_map_module.html |
10541 |
+ 'map', |
10542 |
+ 'map_hash_max_size', |
10543 |
+ 'map_hash_bucket_size', |
10544 |
+ ), |
10545 |
+ 22 => array( // memcached module |
10546 |
+ // http://wiki.nginx.org/HttpMemcachedModule |
10547 |
+ // http://nginx.org/en/docs/http/ngx_http_memcached_module.html |
10548 |
+ 'memcached_buffer_size', |
10549 |
+ 'memcached_connect_timeout', |
10550 |
+ 'memcached_next_upstream', |
10551 |
+ 'memcached_pass', |
10552 |
+ 'memcached_read_timeout', |
10553 |
+ 'memcached_send_timeout', |
10554 |
+ ), |
10555 |
+ 23 => array( // proxy module |
10556 |
+ // http://wiki.nginx.org/HttpProxyModule |
10557 |
+ // http://nginx.org/en/docs/http/ngx_http_proxy_module.html |
10558 |
+ 'proxy_bind', |
10559 |
+ 'proxy_buffer_size', |
10560 |
+ 'proxy_buffering', |
10561 |
+ 'proxy_buffers', |
10562 |
+ 'proxy_busy_buffers_size', |
10563 |
+ 'proxy_cache', |
10564 |
+ 'proxy_cache_bypass', |
10565 |
+ 'proxy_cache_key', |
10566 |
+ 'proxy_cache_lock', |
10567 |
+ 'proxy_cache_lock_timeout', |
10568 |
+ 'proxy_cache_methods', |
10569 |
+ 'proxy_cache_min_uses', |
10570 |
+ 'proxy_cache_path', |
10571 |
+ 'proxy_cache_use_stale', |
10572 |
+ 'proxy_cache_valid', |
10573 |
+ 'proxy_connect_timeout', |
10574 |
+ 'proxy_cookie_domain', |
10575 |
+ 'proxy_cookie_path', |
10576 |
+ 'proxy_headers_hash_bucket_size', |
10577 |
+ 'proxy_headers_hash_max_size', |
10578 |
+ 'proxy_hide_header', |
10579 |
+ 'proxy_http_version', |
10580 |
+ 'proxy_ignore_client_abort', |
10581 |
+ 'proxy_ignore_headers', |
10582 |
+ 'proxy_intercept_errors', |
10583 |
+ 'proxy_max_temp_file_size', |
10584 |
+ 'proxy_method', |
10585 |
+ 'proxy_next_upstream', |
10586 |
+ 'proxy_no_cache', |
10587 |
+ 'proxy_pass', |
10588 |
+ 'proxy_pass_header', |
10589 |
+ 'proxy_pass_request_body', |
10590 |
+ 'proxy_pass_request_headers', |
10591 |
+ 'proxy_redirect', |
10592 |
+ 'proxy_read_timeout', |
10593 |
+ 'proxy_redirect_errors', |
10594 |
+ 'proxy_send_lowat', |
10595 |
+ 'proxy_send_timeout', |
10596 |
+ 'proxy_set_body', |
10597 |
+ 'proxy_set_header', |
10598 |
+ 'proxy_ssl_session_reuse', |
10599 |
+ 'proxy_store', |
10600 |
+ 'proxy_store_access', |
10601 |
+ 'proxy_temp_file_write_size', |
10602 |
+ 'proxy_temp_path', |
10603 |
+ 'proxy_upstream_fail_timeout', |
10604 |
+ 'proxy_upstream_max_fails', |
10605 |
+ ), |
10606 |
+ 24 => array( // rewrite module |
10607 |
+ // http://wiki.nginx.org/HttpRewriteModule |
10608 |
+ // http://nginx.org/en/docs/http/ngx_http_rewrite_module.html |
10609 |
+ 'break', |
10610 |
+ 'if', |
10611 |
+ 'return', |
10612 |
+ 'rewrite', |
10613 |
+ 'rewrite_log', |
10614 |
+ 'set', |
10615 |
+ 'uninitialized_variable_warn', |
10616 |
+ ), |
10617 |
+ 25 => array( // ssi module |
10618 |
+ // http://wiki.nginx.org/HttpSsiModule |
10619 |
+ // http://nginx.org/en/docs/http/ngx_http_ssi_module.html |
10620 |
+ 'ssi', |
10621 |
+ 'ssi_silent_errors', |
10622 |
+ 'ssi_types', |
10623 |
+ 'ssi_value_length', |
10624 |
+ ), |
10625 |
+ 26 => array( // user id module |
10626 |
+ // http://wiki.nginx.org/HttpUseridModule |
10627 |
+ // http://nginx.org/en/docs/http/ngx_http_userid_module.html |
10628 |
+ 'userid', |
10629 |
+ 'userid_domain', |
10630 |
+ 'userid_expires', |
10631 |
+ 'userid_name', |
10632 |
+ 'userid_p3p', |
10633 |
+ 'userid_path', |
10634 |
+ 'userid_service', |
10635 |
+ ), |
10636 |
+ 27 => array( // addition module |
10637 |
+ // http://wiki.nginx.org/HttpAdditionModule |
10638 |
+ // http://nginx.org/en/docs/http/ngx_http_addition_module.html |
10639 |
+ 'add_before_body', |
10640 |
+ 'add_after_body', |
10641 |
+ 'addition_types', |
10642 |
+ ), |
10643 |
+ 28 => array( // embedded Perl module |
10644 |
+ // http://wiki.nginx.org/HttpPerlModule |
10645 |
+ // http://nginx.org/en/docs/http/ngx_http_perl_module.html |
10646 |
+ 'perl', |
10647 |
+ 'perl_modules', |
10648 |
+ 'perl_require', |
10649 |
+ 'perl_set', |
10650 |
+ ), |
10651 |
+ 29 => array( // flash video files module |
10652 |
+ // http://wiki.nginx.org/HttpFlvModule |
10653 |
+ // http://nginx.org/en/docs/http/ngx_http_flv_module.html |
10654 |
+ 'flv', |
10655 |
+ ), |
10656 |
+ 30 => array( // gzip precompression module |
10657 |
+ // http://wiki.nginx.org/HttpGzipStaticModule |
10658 |
+ // http://nginx.org/en/docs/http/ngx_http_gzip_static_module.html |
10659 |
+ 'gzip_static', |
10660 |
+ // Removed to remove duplication with ngx_http_gzip_module |
10661 |
+ //'gzip_http_version', |
10662 |
+ //'gzip_proxied', |
10663 |
+ //'gzip_disable', |
10664 |
+ //'gzip_vary', |
10665 |
+ ), |
10666 |
+ 31 => array( // random index module |
10667 |
+ // http://wiki.nginx.org/HttpRandomIndexModule |
10668 |
+ // http://nginx.org/en/docs/http/ngx_http_random_index_module.html |
10669 |
+ 'random_index', |
10670 |
+ ), |
10671 |
+ 32 => array( // real ip module |
10672 |
+ // http://wiki.nginx.org/HttpRealipModule |
10673 |
+ // http://nginx.org/en/docs/http/ngx_http_realip_module.html |
10674 |
+ 'set_real_ip_from', |
10675 |
+ 'real_ip_header', |
10676 |
+ 'real_ip_recursive', |
10677 |
+ ), |
10678 |
+ 33 => array( // https module |
10679 |
+ // http://wiki.nginx.org/HttpSslModule |
10680 |
+ // http://nginx.org/en/docs/http/ngx_http_ssl_module.html |
10681 |
+ 'ssl', |
10682 |
+ 'ssl_certificate', |
10683 |
+ 'ssl_certificate_key', |
10684 |
+ 'ssl_ciphers', |
10685 |
+ 'ssl_client_certificate', |
10686 |
+ 'ssl_crl', |
10687 |
+ 'ssl_dhparam', |
10688 |
+ // Use the documentation for the core module since it links to the |
10689 |
+ // original properly |
10690 |
+ //'ssl_engine', |
10691 |
+ 'ssl_prefer_server_ciphers', |
10692 |
+ 'ssl_protocols', |
10693 |
+ 'ssl_session_cache', |
10694 |
+ 'ssl_session_timeout', |
10695 |
+ 'ssl_verify_client', |
10696 |
+ 'ssl_verify_depth', |
10697 |
+ ), |
10698 |
+ 34 => array( // status module |
10699 |
+ // http://wiki.nginx.org/HttpStubStatusModule |
10700 |
+ 'stub_status', |
10701 |
+ ), |
10702 |
+ 35 => array( // substitution module |
10703 |
+ // http://wiki.nginx.org/HttpSubModule |
10704 |
+ // http://nginx.org/en/docs/http/ngx_http_sub_module.html |
10705 |
+ 'sub_filter', |
10706 |
+ 'sub_filter_once', |
10707 |
+ 'sub_filter_types', |
10708 |
+ ), |
10709 |
+ 36 => array( // NginxHttpDavModule |
10710 |
+ // http://wiki.nginx.org/HttpDavModule |
10711 |
+ // http://nginx.org/en/docs/http/ngx_http_dav_module.html |
10712 |
+ 'dav_access', |
10713 |
+ 'dav_methods', |
10714 |
+ 'create_full_put_path', |
10715 |
+ 'min_delete_depth', |
10716 |
+ ), |
10717 |
+ 37 => array( // Google performance tools module |
10718 |
+ // http://wiki.nginx.org/GooglePerftoolsModule |
10719 |
+ 'google_perftools_profiles', |
10720 |
+ ), |
10721 |
+ 38 => array( // xslt module |
10722 |
+ // http://wiki.nginx.org/HttpXsltModule |
10723 |
+ // http://nginx.org/en/docs/http/ngx_http_xslt_module.html |
10724 |
+ 'xslt_entities', |
10725 |
+ 'xslt_param', |
10726 |
+ 'xslt_string_param', |
10727 |
+ 'xslt_stylesheet', |
10728 |
+ 'xslt_types', |
10729 |
+ ), |
10730 |
+ 39 => array( // uWSGI module |
10731 |
+ // http://wiki.nginx.org/HttpUwsgiModule |
10732 |
+ 'uwsgi_bind', |
10733 |
+ 'uwsgi_buffer_size', |
10734 |
+ 'uwsgi_buffering', |
10735 |
+ 'uwsgi_buffers', |
10736 |
+ 'uwsgi_busy_buffers_size', |
10737 |
+ 'uwsgi_cache', |
10738 |
+ 'uwsgi_cache_bypass', |
10739 |
+ 'uwsgi_cache_key', |
10740 |
+ 'uwsgi_cache_lock', |
10741 |
+ 'uwsgi_cache_lock_timeout', |
10742 |
+ 'uwsgi_cache_methods', |
10743 |
+ 'uwsgi_cache_min_uses', |
10744 |
+ 'uwsgi_cache_path', |
10745 |
+ 'uwsgi_cache_use_stale', |
10746 |
+ 'uwsgi_cache_valid', |
10747 |
+ 'uwsgi_connect_timeout', |
10748 |
+ 'uwsgi_hide_header', |
10749 |
+ 'uwsgi_ignore_client_abort', |
10750 |
+ 'uwsgi_ignore_headers', |
10751 |
+ 'uwsgi_intercept_errors', |
10752 |
+ 'uwsgi_max_temp_file_size', |
10753 |
+ 'uwsgi_modifier', |
10754 |
+ 'uwsgi_next_upstream', |
10755 |
+ 'uwsgi_no_cache', |
10756 |
+ 'uwsgi_param', |
10757 |
+ 'uwsgi_pass', |
10758 |
+ 'uwsgi_pass_header', |
10759 |
+ 'uwsgi_pass_request_body', |
10760 |
+ 'uwsgi_pass_request_headers', |
10761 |
+ 'uwsgi_read_timeout', |
10762 |
+ 'uwsgi_send_timeout', |
10763 |
+ 'uwsgi_store', |
10764 |
+ 'uwsgi_store_access', |
10765 |
+ 'uwsgi_string', |
10766 |
+ 'uwsgi_temp_file_write_size', |
10767 |
+ 'uwsgi_temp_path', |
10768 |
+ ), |
10769 |
+ 40 => array( // SCGI module |
10770 |
+ // http://wiki.nginx.org/HttpScgiModule |
10771 |
+ // Note: These directives were pulled from nginx 1.2.3 |
10772 |
+ // ngx_http_scgi_module.c source file. |
10773 |
+ 'scgi_bind', |
10774 |
+ 'scgi_buffering', |
10775 |
+ 'scgi_buffers', |
10776 |
+ 'scgi_buffer_size', |
10777 |
+ 'scgi_busy_buffers_size', |
10778 |
+ 'scgi_cache', |
10779 |
+ 'scgi_cache_bypass', |
10780 |
+ 'scgi_cache_key', |
10781 |
+ 'scgi_cache_lock', |
10782 |
+ 'scgi_cache_lock_timeout', |
10783 |
+ 'scgi_cache_methods', |
10784 |
+ 'scgi_cache_min_uses', |
10785 |
+ 'scgi_cache_path', |
10786 |
+ 'scgi_cache_use_stale', |
10787 |
+ 'scgi_cache_valid', |
10788 |
+ 'scgi_connect_timeout', |
10789 |
+ 'scgi_hide_header', |
10790 |
+ 'scgi_ignore_client_abort', |
10791 |
+ 'scgi_ignore_headers', |
10792 |
+ 'scgi_intercept_errors', |
10793 |
+ 'scgi_max_temp_file_size', |
10794 |
+ 'scgi_next_upstream', |
10795 |
+ 'scgi_no_cache', |
10796 |
+ 'scgi_param', |
10797 |
+ 'scgi_pass', |
10798 |
+ 'scgi_pass_header', |
10799 |
+ 'scgi_pass_request_body', |
10800 |
+ 'scgi_pass_request_headers', |
10801 |
+ 'scgi_read_timeout', |
10802 |
+ 'scgi_send_timeout', |
10803 |
+ 'scgi_store', |
10804 |
+ 'scgi_store_access', |
10805 |
+ 'scgi_temp_file_write_size', |
10806 |
+ 'scgi_temp_path', |
10807 |
+ ), |
10808 |
+ 41 => array( // split clients module |
10809 |
+ // http://wiki.nginx.org/HttpSplitClientsModule |
10810 |
+ // http://nginx.org/en/docs/http/ngx_http_split_clients_module.html |
10811 |
+ 'split_clients', |
10812 |
+ ), |
10813 |
+ 42 => array( // X-Accel module |
10814 |
+ // http://wiki.nginx.org/X-accel |
10815 |
+ 'X-Accel-Redirect', |
10816 |
+ 'X-Accel-Buffering', |
10817 |
+ 'X-Accel-Charset', |
10818 |
+ 'X-Accel-Expires', |
10819 |
+ 'X-Accel-Limit-Rate', |
10820 |
+ ), |
10821 |
+ 43 => array( // degradation module |
10822 |
+ // http://wiki.nginx.org/HttpDegradationModule |
10823 |
+ 'degradation', |
10824 |
+ 'degrade', |
10825 |
+ ), |
10826 |
+ 44 => array( // GeoIP module |
10827 |
+ // http://wiki.nginx.org/HttpGeoipModule |
10828 |
+ // http://nginx.org/en/docs/http/ngx_http_geoip_module.html |
10829 |
+ 'geoip_country', |
10830 |
+ 'geoip_city', |
10831 |
+ 'geoip_proxy', |
10832 |
+ 'geoip_proxy_recursive', |
10833 |
+ ), |
10834 |
+ 45 => array( // Image filter module |
10835 |
+ // http://wiki.nginx.org/HttpImageFilterModule |
10836 |
+ // http://nginx.org/en/docs/http/ngx_http_image_filter_module.html |
10837 |
+ 'image_filter', |
10838 |
+ 'image_filter_buffer', |
10839 |
+ 'image_filter_jpeg_quality', |
10840 |
+ 'image_filter_sharpen', |
10841 |
+ 'image_filter_transparency', |
10842 |
+ ), |
10843 |
+ 46 => array( // MP4 module |
10844 |
+ // http://wiki.nginx.org/HttpMp4Module |
10845 |
+ // http://nginx.org/en/docs/http/ngx_http_mp4_module.html |
10846 |
+ 'mp4', |
10847 |
+ 'mp4_buffer_size', |
10848 |
+ 'mp4_max_buffer_size', |
10849 |
+ ), |
10850 |
+ 47 => array( // Secure Link module |
10851 |
+ // http://wiki.nginx.org/HttpSecureLinkModule |
10852 |
+ // http://nginx.org/en/docs/http/ngx_http_secure_link_module.html |
10853 |
+ 'secure_link', |
10854 |
+ 'secure_link_md', |
10855 |
+ 'secure_link_secret', |
10856 |
+ ), |
10857 |
+ 48 => array( // Mail Core module |
10858 |
+ // http://wiki.nginx.org/MailCoreModule |
10859 |
+ 'auth', |
10860 |
+ 'imap_capabilities', |
10861 |
+ 'imap_client_buffer', |
10862 |
+ 'pop_auth', |
10863 |
+ 'pop_capabilities', |
10864 |
+ 'protocol', |
10865 |
+ 'smtp_auth', |
10866 |
+ 'smtp_capabilities', |
10867 |
+ 'so_keepalive', |
10868 |
+ 'timeout', |
10869 |
+ // Removed to prioritize documentation for core module |
10870 |
+ //'listen', |
10871 |
+ //'server', |
10872 |
+ //'server_name', |
10873 |
+ ), |
10874 |
+ 49 => array( // Mail Auth module |
10875 |
+ // http://wiki.nginx.org/MailAuthModule |
10876 |
+ 'auth_http', |
10877 |
+ 'auth_http_header', |
10878 |
+ 'auth_http_timeout', |
10879 |
+ ), |
10880 |
+ 50 => array( // Mail Proxy module |
10881 |
+ // http://wiki.nginx.org/MailProxyModule |
10882 |
+ 'proxy', |
10883 |
+ 'proxy_buffer', |
10884 |
+ 'proxy_pass_error_message', |
10885 |
+ 'proxy_timeout', |
10886 |
+ 'xclient', |
10887 |
+ ), |
10888 |
+ 51 => array( // Mail SSL module |
10889 |
+ // http://wiki.nginx.org/MailSslModule |
10890 |
+ // Removed to prioritize documentation for http |
10891 |
+ //'ssl', |
10892 |
+ //'ssl_certificate', |
10893 |
+ //'ssl_certificate_key', |
10894 |
+ //'ssl_ciphers', |
10895 |
+ //'ssl_prefer_server_ciphers', |
10896 |
+ //'ssl_protocols', |
10897 |
+ //'ssl_session_cache', |
10898 |
+ //'ssl_session_timeout', |
10899 |
+ 'starttls', |
10900 |
+ ), |
10901 |
+ ), |
10902 |
+ 'SYMBOLS' => array( |
10903 |
+ '(', ')', '{', '}', '=', '~', ';' |
10904 |
+ ), |
10905 |
+ 'CASE_SENSITIVE' => array( |
10906 |
+ GESHI_COMMENTS => true, |
10907 |
+ 1 => true, |
10908 |
+ 2 => true, |
10909 |
+ 3 => true, |
10910 |
+ 4 => true, |
10911 |
+ 5 => true, |
10912 |
+ 6 => true, |
10913 |
+ 7 => true, |
10914 |
+ 8 => true, |
10915 |
+ 9 => true, |
10916 |
+ 10 => true, |
10917 |
+ 11 => true, |
10918 |
+ 12 => true, |
10919 |
+ 13 => true, |
10920 |
+ 14 => true, |
10921 |
+ 15 => true, |
10922 |
+ 16 => true, |
10923 |
+ 17 => true, |
10924 |
+ 18 => true, |
10925 |
+ 19 => true, |
10926 |
+ 20 => true, |
10927 |
+ 21 => true, |
10928 |
+ 22 => true, |
10929 |
+ 23 => true, |
10930 |
+ 24 => true, |
10931 |
+ 25 => true, |
10932 |
+ 26 => true, |
10933 |
+ 27 => true, |
10934 |
+ 28 => true, |
10935 |
+ 29 => true, |
10936 |
+ 30 => true, |
10937 |
+ 31 => true, |
10938 |
+ 32 => true, |
10939 |
+ 33 => true, |
10940 |
+ 34 => true, |
10941 |
+ 35 => true, |
10942 |
+ 36 => true, |
10943 |
+ 37 => true, |
10944 |
+ 38 => true, |
10945 |
+ 39 => true, |
10946 |
+ 40 => true, |
10947 |
+ 41 => true, |
10948 |
+ 42 => true, |
10949 |
+ 43 => true, |
10950 |
+ 44 => true, |
10951 |
+ 45 => true, |
10952 |
+ 46 => true, |
10953 |
+ 47 => true, |
10954 |
+ 48 => true, |
10955 |
+ 49 => true, |
10956 |
+ 50 => true, |
10957 |
+ 51 => true, |
10958 |
+ ), |
10959 |
+ 'STYLES' => array( |
10960 |
+ 'KEYWORDS' => array( |
10961 |
+ 1 => 'color: #b1b100;', |
10962 |
+ 2 => 'color: #000000; font-weight: bold;', |
10963 |
+ 3 => 'color: #000066;', |
10964 |
+ 4 => 'color: #993333;' |
10965 |
+ ), |
10966 |
+ 'COMMENTS' => array( |
10967 |
+ 1 => 'color: #808080; font-style: italic;', |
10968 |
+ ), |
10969 |
+ 'ESCAPE_CHAR' => array( |
10970 |
+ 0 => 'color: #000099; font-weight: bold;' |
10971 |
+ ), |
10972 |
+ 'BRACKETS' => array( |
10973 |
+ 0 => 'color: #66cc66;' |
10974 |
+ ), |
10975 |
+ 'STRINGS' => array( |
10976 |
+ 0 => 'color: #ff0000;' |
10977 |
+ ), |
10978 |
+ 'NUMBERS' => array( |
10979 |
+ ), |
10980 |
+ 'METHODS' => array( |
10981 |
+ 1 => 'color: #202020;', |
10982 |
+ 2 => 'color: #202020;' |
10983 |
+ ), |
10984 |
+ 'SYMBOLS' => array( |
10985 |
+ 0 => 'color: #66cc66;' |
10986 |
+ ), |
10987 |
+ 'REGEXPS' => array( |
10988 |
+ 0 => 'color: #000066;', |
10989 |
+ 4 => 'color: #000000; font-weight: bold;', |
10990 |
+ ), |
10991 |
+ 'SCRIPT' => array() |
10992 |
+ ), |
10993 |
+ 'URLS' => array( |
10994 |
+ 1 => 'http://wiki.nginx.org/CoreModule#{FNAME}', |
10995 |
+ 2 => 'http://wiki.nginx.org/NginxHttpEventsModule#{FNAME}', |
10996 |
+ 3 => 'http://wiki.nginx.org/NginxHttpCoreModule#{FNAME}', |
10997 |
+ 4 => 'http://wiki.nginx.org/NginxHttpUpstreamModule#{FNAME}', |
10998 |
+ 5 => 'http://wiki.nginx.org/NginxHttpAccessModule#{FNAME}', |
10999 |
+ 6 => 'http://wiki.nginx.org/NginxHttpAuthBasicModule#{FNAME}', |
11000 |
+ 7 => 'http://wiki.nginx.org/NginxHttpAutoIndexModule#{FNAME}', |
11001 |
+ 8 => 'http://wiki.nginx.org/NginxHttpBrowserModule#{FNAME}', |
11002 |
+ 9 => 'http://wiki.nginx.org/NginxHttpCharsetModule#{FNAME}', |
11003 |
+ 10 => 'http://wiki.nginx.org/NginxHttpEmptyGifModule#{FNAME}', |
11004 |
+ 11 => 'http://wiki.nginx.org/NginxHttpFcgiModule#{FNAME}', |
11005 |
+ 12 => 'http://wiki.nginx.org/NginxHttpGeoModule#{FNAME}', |
11006 |
+ 13 => 'http://wiki.nginx.org/NginxHttpGzipModule#{FNAME}', |
11007 |
+ 14 => 'http://wiki.nginx.org/NginxHttpHeadersModule#{FNAME}', |
11008 |
+ 15 => 'http://wiki.nginx.org/NginxHttpIndexModule#{FNAME}', |
11009 |
+ 16 => 'http://wiki.nginx.org/HttpLimitReqModule#{FNAME}', |
11010 |
+ 17 => 'http://wiki.nginx.org/NginxHttpRefererModule#{FNAME}', |
11011 |
+ 18 => 'http://wiki.nginx.org/NginxHttpLimitZoneModule#{FNAME}', |
11012 |
+ 19 => 'http://wiki.nginx.org/HttpLimitConnModule#{FNAME}', |
11013 |
+ 20 => 'http://wiki.nginx.org/NginxHttpLogModule#{FNAME}', |
11014 |
+ 21 => 'http://wiki.nginx.org/NginxHttpMapModule#{FNAME}', |
11015 |
+ 22 => 'http://wiki.nginx.org/NginxHttpMemcachedModule#{FNAME}', |
11016 |
+ 23 => 'http://wiki.nginx.org/NginxHttpProxyModule#{FNAME}', |
11017 |
+ 24 => 'http://wiki.nginx.org/NginxHttpRewriteModule#{FNAME}', |
11018 |
+ 25 => 'http://wiki.nginx.org/NginxHttpSsiModule#{FNAME}', |
11019 |
+ 26 => 'http://wiki.nginx.org/NginxHttpUserIdModule#{FNAME}', |
11020 |
+ 27 => 'http://wiki.nginx.org/NginxHttpAdditionModule#{FNAME}', |
11021 |
+ 28 => 'http://wiki.nginx.org/NginxHttpEmbeddedPerlModule#{FNAME}', |
11022 |
+ 29 => 'http://wiki.nginx.org/NginxHttpFlvStreamModule#{FNAME}', |
11023 |
+ 30 => 'http://wiki.nginx.org/NginxHttpGzipStaticModule#{FNAME}', |
11024 |
+ 31 => 'http://wiki.nginx.org/NginxHttpRandomIndexModule#{FNAME}', |
11025 |
+ 32 => 'http://wiki.nginx.org/NginxHttpRealIpModule#{FNAME}', |
11026 |
+ 33 => 'http://wiki.nginx.org/NginxHttpSslModule#{FNAME}', |
11027 |
+ 34 => 'http://wiki.nginx.org/NginxHttpStubStatusModule#{FNAME}', |
11028 |
+ 35 => 'http://wiki.nginx.org/NginxHttpSubModule#{FNAME}', |
11029 |
+ 36 => 'http://wiki.nginx.org/NginxHttpDavModule#{FNAME}', |
11030 |
+ 37 => 'http://wiki.nginx.org/NginxHttpGooglePerfToolsModule#{FNAME}', |
11031 |
+ 38 => 'http://wiki.nginx.org/NginxHttpXsltModule#{FNAME}', |
11032 |
+ 39 => 'http://wiki.nginx.org/NginxHttpUwsgiModule#{FNAME}', |
11033 |
+ 40 => 'http://wiki.nginx.org/HttpScgiModule', |
11034 |
+ 41 => 'http://wiki.nginx.org/HttpSplitClientsModule#{FNAME}', |
11035 |
+ 42 => 'http://wiki.nginx.org/X-accel#{FNAME}', |
11036 |
+ 43 => 'http://wiki.nginx.org/HttpDegradationModule#{FNAME}', |
11037 |
+ 44 => 'http://wiki.nginx.org/HttpGeoipModule#{FNAME}', |
11038 |
+ 45 => 'http://wiki.nginx.org/HttpImageFilterModule#{FNAME}', |
11039 |
+ 46 => 'http://wiki.nginx.org/HttpMp4Module#{FNAME}', |
11040 |
+ 47 => 'http://wiki.nginx.org/HttpSecureLinkModule#{FNAME}', |
11041 |
+ 48 => 'http://wiki.nginx.org/MailCoreModule#{FNAME}', |
11042 |
+ 49 => 'http://wiki.nginx.org/MailAuthModule#{FNAME}', |
11043 |
+ 50 => 'http://wiki.nginx.org/MailProxyModule#{FNAME}', |
11044 |
+ 51 => 'http://wiki.nginx.org/MailSslModule#{FNAME}', |
11045 |
+ ), |
11046 |
+ 'OOLANG' => false, |
11047 |
+ 'OBJECT_SPLITTERS' => array(), |
11048 |
+ 'REGEXPS' => array( |
11049 |
+ 0 => '[\\$%@]+[a-zA-Z_][a-zA-Z0-9_]*', |
11050 |
+ 4 => '<[a-zA-Z_][a-zA-Z0-9_]*>', |
11051 |
+ ), |
11052 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
11053 |
+ 'SCRIPT_DELIMITERS' => array(), |
11054 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array() |
11055 |
+); |
11056 |
|
11057 |
diff --git a/plugins/wp-syntax/geshi/geshi/nimrod.php b/plugins/wp-syntax/geshi/geshi/nimrod.php |
11058 |
new file mode 100644 |
11059 |
index 0000000..b288ae0 |
11060 |
--- /dev/null |
11061 |
+++ b/plugins/wp-syntax/geshi/geshi/nimrod.php |
11062 |
@@ -0,0 +1,201 @@ |
11063 |
+<?php |
11064 |
+/************************************************************************************* |
11065 |
+ * nimrod.php |
11066 |
+ * ---------- |
11067 |
+ * Author: Dennis Felsing (dennis@×××××××.de) |
11068 |
+ * Copyright: (c) 2014 Dennis Felsing |
11069 |
+ * Release Version: 1.0.8.12 |
11070 |
+ * Date Started: 2014/07/15 |
11071 |
+ * |
11072 |
+ * Nimrod language file for GeSHi. |
11073 |
+ * |
11074 |
+ * CHANGES |
11075 |
+ * ------- |
11076 |
+ * 2014/07/15 (1.0.8.12) |
11077 |
+ * - First Release |
11078 |
+ * |
11079 |
+ * TODO (updated 2014/07/15) |
11080 |
+ * ------------------------- |
11081 |
+ * - Int literals like 50'u8 |
11082 |
+ * |
11083 |
+ ************************************************************************************* |
11084 |
+ * |
11085 |
+ * This file is part of GeSHi. |
11086 |
+ * |
11087 |
+ * GeSHi is free software; you can redistribute it and/or modify |
11088 |
+ * it under the terms of the GNU General Public License as published by |
11089 |
+ * the Free Software Foundation; either version 2 of the License, or |
11090 |
+ * (at your option) any later version. |
11091 |
+ * |
11092 |
+ * GeSHi is distributed in the hope that it will be useful, |
11093 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
11094 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
11095 |
+ * GNU General Public License for more details. |
11096 |
+ * |
11097 |
+ * You should have received a copy of the GNU General Public License |
11098 |
+ * along with GeSHi; if not, write to the Free Software |
11099 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
11100 |
+ * |
11101 |
+ ************************************************************************************/ |
11102 |
+ |
11103 |
+$language_data = array ( |
11104 |
+ 'LANG_NAME' => 'Nimrod', |
11105 |
+ 'COMMENT_SINGLE' => array(1 => '#'), |
11106 |
+ 'COMMENT_MULTI' => array(), |
11107 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
11108 |
+ //Longest quotemarks ALWAYS first |
11109 |
+ 'QUOTEMARKS' => array('"""', '"'), |
11110 |
+ 'ESCAPE_CHAR' => '\\', |
11111 |
+ 'NUMBERS' => |
11112 |
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_BIN_PREFIX_0B | |
11113 |
+ GESHI_NUMBER_OCT_PREFIX_0O | GESHI_NUMBER_HEX_PREFIX | |
11114 |
+ GESHI_NUMBER_FLT_NONSCI | GESHI_NUMBER_FLT_NONSCI_F | |
11115 |
+ GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO, |
11116 |
+ 'KEYWORDS' => array( |
11117 |
+ |
11118 |
+ /* |
11119 |
+ ** Set 1: reserved words |
11120 |
+ ** http://nimrod-lang.org/manual.html#identifiers-keywords |
11121 |
+ */ |
11122 |
+ 1 => array( |
11123 |
+ 'addr', 'and', 'as', 'asm', 'atomic', |
11124 |
+ 'bind', 'block', 'break', |
11125 |
+ 'case', 'cast', 'const', 'continue', 'converter', |
11126 |
+ 'discard', 'distinct', 'div', 'do', |
11127 |
+ 'elif', 'else', 'end', 'enum', 'except', 'export', |
11128 |
+ 'finally', 'for', 'from', |
11129 |
+ 'generic', |
11130 |
+ 'if', 'import', 'in', 'include', 'interface', 'is', 'isnot', 'iterator', |
11131 |
+ 'lambda', 'let', |
11132 |
+ 'macro', 'method', 'mixin', 'mod', |
11133 |
+ 'nil', 'not', 'notin', |
11134 |
+ 'object', 'of', 'or', 'out', |
11135 |
+ 'proc', |
11136 |
+ 'raise', 'ref', 'return', |
11137 |
+ 'shl', 'shr', 'static', |
11138 |
+ 'template', 'try', 'tuple', 'type', |
11139 |
+ 'using', |
11140 |
+ 'var', |
11141 |
+ 'when', 'while', 'with', 'without', |
11142 |
+ 'xor', |
11143 |
+ 'yield' |
11144 |
+ ), |
11145 |
+ |
11146 |
+ 2 => array( |
11147 |
+ 'true', 'false' |
11148 |
+ ), |
11149 |
+ |
11150 |
+ 3 => array( |
11151 |
+ /* system module */ |
11152 |
+ 'abs', 'accumulateResult', 'add', 'addAndFetch', 'addQuitProc', |
11153 |
+ 'alloc', 'alloc0', 'allocCStringArray', 'allocShared', |
11154 |
+ 'allocShared0', 'assert', 'astToStr', 'atomicDec', 'atomicInc', |
11155 |
+ 'card', 'chr', 'clamp', 'close', 'cmp', 'compileOption', |
11156 |
+ 'compiles', 'contains', 'copy', 'copyMem', 'countdown', 'countup', |
11157 |
+ 'create', 'createShared', 'createSharedU', 'createU', |
11158 |
+ 'cstringArrayToSeq', 'currentSourcePath', 'dealloc', |
11159 |
+ 'deallocCStringArray', 'deallocShared', 'debugEcho', 'dec', |
11160 |
+ 'defined', 'definedInScope', 'del', 'delete', 'doAssert', 'each', |
11161 |
+ 'echo', 'endOfFile', 'equalMem', 'excl', 'failedAssertImpl', |
11162 |
+ 'fieldPairs', 'fields', 'fileHandle', 'find', 'finished', |
11163 |
+ 'flushFile', 'free', 'freeShared', 'GC_addCycleRoot', 'GC_disable', |
11164 |
+ 'GC_disableMarkAndSweep', 'GC_enable', 'GC_enableMarkAndSweep', |
11165 |
+ 'GC_fullCollect', 'GC_getStatistics', 'gcInvariant', 'GC_ref', |
11166 |
+ 'GC_setStrategy', 'GC_unref', 'getCurrentException', |
11167 |
+ 'getCurrentExceptionMsg', 'getFilePos', 'getFileSize', |
11168 |
+ 'getFreeMem', 'getOccupiedMem', 'getRefcount', 'getStackTrace', |
11169 |
+ 'getTotalMem', 'getTypeInfo', 'gorge', 'high', 'inc', 'incl', |
11170 |
+ 'insert', 'instantiationInfo', 'internalNew', 'isNil', 'isOnStack', |
11171 |
+ 'isStatic', 'items', 'len', 'likely', 'lines', 'locals', 'low', |
11172 |
+ 'map', 'max', 'min', 'moveMem', 'new', 'newException', 'newSeq', |
11173 |
+ 'newString', 'newStringOfCap', 'newWideCString', 'nimDestroyRange', |
11174 |
+ 'onFailedAssert', 'onRaise', 'open', 'ord', 'pairs', 'pop', 'pred', |
11175 |
+ 'quit', 'raiseAssert', 'rand', 'rawEnv', 'rawProc', 'readAll', |
11176 |
+ 'readBuffer', 'readBytes', 'readChar', 'readChars', 'readFile', |
11177 |
+ 'readLine', 'realloc', 'reallocShared', 'reopen', 'repr', 'reset', |
11178 |
+ 'resize', 'safeAdd', 'setControlCHook', 'setFilePos', 'setLen', |
11179 |
+ 'shallow', 'shallowCopy', 'sizeof', 'slurp', 'staticExec', |
11180 |
+ 'staticRead', 'stdmsg', 'substr', 'succ', 'swap', 'toBiggestFloat', |
11181 |
+ 'toBiggestInt', 'toFloat', 'toInt', 'toU16', 'toU32', 'toU8', |
11182 |
+ 'unlikely', 'unsafeNew', 'write', 'writeBuffer', 'writeBytes', |
11183 |
+ 'writeChars', 'writeFile', 'writeln', 'writeStackTrace', 'ze', |
11184 |
+ 'ze64', 'zeroMem' |
11185 |
+ ), |
11186 |
+ |
11187 |
+ 4 => array( |
11188 |
+ 'auto', 'pointer', 'ptr', 'void', 'any', 'expr', 'stmt', 'typedesc', |
11189 |
+ 'int', 'int8', 'int16', 'int32', 'int64', 'float', 'float32', 'float64', |
11190 |
+ 'uint', 'uint8', 'uint16', 'uint32', 'uint64', |
11191 |
+ 'bool', 'char', 'range', 'array', 'seq', 'set', 'string', 'TSlice', |
11192 |
+ 'cstring', 'cint', 'clong', 'culong', 'cchar', 'cschar', 'cshort', |
11193 |
+ 'csize', 'clonglong', 'cfloat', 'cdouble', 'clongdouble', 'cuchar', |
11194 |
+ 'cushort', 'cuint', 'culonglong', 'cstringArray' |
11195 |
+ ) |
11196 |
+ ), |
11197 |
+ 'SYMBOLS' => array( |
11198 |
+ '*', '/', '%', '\\', |
11199 |
+ '+', '-', '~', '|', |
11200 |
+ '&', |
11201 |
+ '..', |
11202 |
+ '=', '<', '>', '!', |
11203 |
+ '@', '?' |
11204 |
+ ), |
11205 |
+ 'CASE_SENSITIVE' => array( |
11206 |
+ GESHI_COMMENTS => false, |
11207 |
+ 1 => false, |
11208 |
+ 2 => false, |
11209 |
+ 3 => false, |
11210 |
+ 4 => false |
11211 |
+ ), |
11212 |
+ 'STYLES' => array( |
11213 |
+ 'KEYWORDS' => array( |
11214 |
+ 1 => 'color: #ff7700;font-weight:bold;', // Reserved |
11215 |
+ 2 => 'color: #008000;', // Built-ins + self |
11216 |
+ 3 => 'color: #dc143c;', // Standard lib |
11217 |
+ 4 => 'color: #0000cd;' // Special methods |
11218 |
+ ), |
11219 |
+ 'COMMENTS' => array( |
11220 |
+ 1 => 'color: #808080; font-style: italic;', |
11221 |
+ 'MULTI' => 'color: #808080; font-style: italic;' |
11222 |
+ ), |
11223 |
+ 'ESCAPE_CHAR' => array( |
11224 |
+ 0 => 'color: #000099; font-weight: bold;' |
11225 |
+ ), |
11226 |
+ 'BRACKETS' => array( |
11227 |
+ 0 => 'color: black;' |
11228 |
+ ), |
11229 |
+ 'STRINGS' => array( |
11230 |
+ 0 => 'color: #483d8b;' |
11231 |
+ ), |
11232 |
+ 'NUMBERS' => array( |
11233 |
+ 0 => 'color: #ff4500;' |
11234 |
+ ), |
11235 |
+ 'METHODS' => array( |
11236 |
+ 1 => 'color: black;' |
11237 |
+ ), |
11238 |
+ 'SYMBOLS' => array( |
11239 |
+ 0 => 'color: #66cc66;' |
11240 |
+ ), |
11241 |
+ 'REGEXPS' => array( |
11242 |
+ ), |
11243 |
+ 'SCRIPT' => array( |
11244 |
+ ) |
11245 |
+ ), |
11246 |
+ 'URLS' => array( |
11247 |
+ 1 => '', |
11248 |
+ 2 => '', |
11249 |
+ 3 => '', |
11250 |
+ 4 => '' |
11251 |
+ ), |
11252 |
+ 'OOLANG' => true, |
11253 |
+ 'OBJECT_SPLITTERS' => array( |
11254 |
+ 1 => '.' |
11255 |
+ ), |
11256 |
+ 'REGEXPS' => array( |
11257 |
+ ), |
11258 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
11259 |
+ 'SCRIPT_DELIMITERS' => array( |
11260 |
+ ), |
11261 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
11262 |
+ ) |
11263 |
+); |
11264 |
|
11265 |
diff --git a/plugins/wp-syntax/geshi/geshi/nsis.php b/plugins/wp-syntax/geshi/geshi/nsis.php |
11266 |
index 35df9b4..02b1eb2 100644 |
11267 |
--- a/plugins/wp-syntax/geshi/geshi/nsis.php |
11268 |
+++ b/plugins/wp-syntax/geshi/geshi/nsis.php |
11269 |
@@ -2,15 +2,18 @@ |
11270 |
/************************************************************************************* |
11271 |
* nsis.php |
11272 |
* -------- |
11273 |
- * Author: deguix (cevo_deguix@×××××××××.br), Tux (http://tux.a4.cz/) |
11274 |
+ * Author: deguix (cevo_deguix@×××××××××.br), Tux (http://tux.a4.cz/), Jan T. Sott (http://github.com/idleberg) |
11275 |
* Copyright: (c) 2005 deguix, 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter) |
11276 |
- * Release Version: 1.0.8.11 |
11277 |
+ * Release Version: 1.0.8.12 |
11278 |
* Date Started: 2005/12/03 |
11279 |
* |
11280 |
* Nullsoft Scriptable Install System language file for GeSHi. |
11281 |
* |
11282 |
* CHANGES |
11283 |
* ------- |
11284 |
+ * 2014/04/23 (2.0.3) |
11285 |
+ * - Updated to NSIS 3.0a2 |
11286 |
+ * - Removed deprecated commands |
11287 |
* 2005/12/03 (2.0.2) |
11288 |
* - Updated to NSIS 2.11. |
11289 |
* 2005/06/17 (2.0.1) |
11290 |
@@ -47,7 +50,7 @@ |
11291 |
* |
11292 |
************************************************************************************/ |
11293 |
|
11294 |
-$language_data = array ( |
11295 |
+$language_data = array( |
11296 |
'LANG_NAME' => 'NSIS', |
11297 |
'COMMENT_SINGLE' => array(1 => ';', 2 => '#'), |
11298 |
'COMMENT_MULTI' => array('/*' => '*/'), |
11299 |
@@ -56,27 +59,26 @@ $language_data = array ( |
11300 |
'ESCAPE_CHAR' => '', |
11301 |
'KEYWORDS' => array( |
11302 |
1 => array( |
11303 |
- '!appendfile', '!addIncludeDir', '!addplugindir', '!cd', '!define', '!delfile', '!echo', '!else', |
11304 |
- '!endif', '!error', '!execute', '!ifdef', '!ifmacrodef', '!ifmacrondef', '!ifndef', '!include', |
11305 |
- '!insertmacro', '!macro', '!macroend', '!packhdr', '!tempfile', '!system', '!undef', '!verbose', |
11306 |
- '!warning' |
11307 |
+ '!addincludedir', '!addplugindir', '!appendfile', '!cd', '!define', '!delfile', '!echo', '!error', |
11308 |
+ '!execute', '!finalize', '!getdllversion', '!include', '!insertmacro', '!macro', '!macroend', '!makensis', '!packhdr', |
11309 |
+ '!searchparse', '!searchreplace', '!system', '!tempfile', '!undef', '!verbose', '!warning' |
11310 |
), |
11311 |
2 => array( |
11312 |
'AddBrandingImage', 'AllowRootDirInstall', 'AutoCloseWindow', 'BGFont', |
11313 |
'BGGradient', 'BrandingText', 'Caption', 'ChangeUI', 'CheckBitmap', 'CompletedText', 'ComponentText', |
11314 |
- 'CRCCheck', 'DetailsButtonText', 'DirShow', 'DirText', 'DirVar', 'DirVerify', 'FileErrorText', |
11315 |
+ 'CRCCheck', 'DetailsButtonText', 'DirText', 'DirVar', 'DirVerify', 'FileErrorText', |
11316 |
'Function', 'FunctionEnd', 'Icon', 'InstallButtonText', 'InstallColors', 'InstallDir', |
11317 |
- 'InstallDirRegKey', 'InstProgressFlags', 'InstType', 'LangString', 'LangStringUP', 'LicenseBkColor', |
11318 |
- 'LicenseData', 'LicenseForceSelection', 'LicenseLangString', 'LicenseText', 'LoadLanguageFile', |
11319 |
- 'MiscButtonText', 'Name', 'OutFile', 'Page', 'PageEx', 'PageExEnd', 'Section', |
11320 |
+ 'InstallDirRegKey', 'InstProgressFlags', 'InstType', 'LangString', 'LicenseBkColor', |
11321 |
+ 'LicenseData', 'LicenseForceSelection', 'LicenseLangString', 'LicenseText', 'LoadLanguageFile', 'ManifestDPIAware', 'ManifestSupportedOS', |
11322 |
+ 'MiscButtonText', 'Name', 'OutFile', 'Page', 'PageEx', 'PageExEnd', 'RequestExecutionLevel', 'Section', |
11323 |
'SectionEnd', 'SectionGroup', 'SectionGroupEnd', 'SetCompressor', 'SetFont', 'ShowInstDetails', |
11324 |
'ShowUninstDetails', 'SilentInstall', 'SilentUnInstall', 'SpaceTexts', 'SubCaption', 'SubSection', |
11325 |
- 'SubSectionEnd', 'UninstallButtonText', 'UninstallCaption', 'UninstallIcon', 'UninstallSubCaption', |
11326 |
- 'UninstallText', 'UninstPage', 'Var', 'VIAddVersionKey', 'VIProductVersion', 'WindowIcon', 'XPStyle' |
11327 |
+ 'SubSectionEnd', 'Unicode', 'UninstallButtonText', 'UninstallCaption', 'UninstallIcon', 'UninstallSubCaption', |
11328 |
+ 'UninstallText', 'UninstPage', 'Var', 'VIAddVersionKey', 'VIFileVersion', 'VIProductVersion', 'WindowIcon', 'XPStyle' |
11329 |
), |
11330 |
3 => array( |
11331 |
'AddSize', 'AllowSkipFiles', 'FileBufSize', 'GetInstDirError', 'PageCallbacks', |
11332 |
- 'SectionIn', 'SetCompress', 'SetCompressionLevel', 'SetCompressorDictSize', |
11333 |
+ 'SectionIn', 'SetCompress', 'SetCompressorDictSize', |
11334 |
'SetDatablockOptimize', 'SetDateSave', 'SetOverwrite', 'SetPluginUnload' |
11335 |
), |
11336 |
4 => array( |
11337 |
@@ -84,18 +86,18 @@ $language_data = array ( |
11338 |
'CreateFont', 'CreateShortCut', 'Delete', 'DeleteINISec', 'DeleteINIStr', 'DeleteRegKey', |
11339 |
'DeleteRegValue', 'DetailPrint', 'EnableWindow', 'EnumRegKey', 'EnumRegValue', 'Exch', 'Exec', |
11340 |
'ExecShell', 'ExecWait', 'ExpandEnvStrings', 'File', 'FileClose', 'FileOpen', 'FileRead', |
11341 |
- 'FileReadByte', 'FileSeek', 'FileWrite', 'FileWriteByte', 'FindClose', 'FindFirst', 'FindNext', |
11342 |
+ 'FileReadByte', 'FileReadUTF16LE', 'FileReadWord', 'FileSeek', 'FileWrite', 'FileWriteByte', 'FileWriteUTF16LE', 'FileWriteWord', 'FindClose', 'FindFirst', 'FindNext', |
11343 |
'FindWindow', 'FlushINI', 'GetCurInstType', 'GetCurrentAddress', 'GetDlgItem', 'GetDLLVersion', |
11344 |
'GetDLLVersionLocal', 'GetErrorLevel', 'GetFileTime', 'GetFileTimeLocal', 'GetFullPathName', |
11345 |
- 'GetFunctionAddress', 'GetLabelAddress', 'GetTempFileName', 'GetWindowText', 'Goto', 'HideWindow', |
11346 |
+ 'GetFunctionAddress', 'GetLabelAddress', 'GetTempFileName', 'Goto', 'HideWindow', |
11347 |
'IfAbort', 'IfErrors', 'IfFileExists', 'IfRebootFlag', 'IfSilent', 'InitPluginsDir', 'InstTypeGetText', |
11348 |
'InstTypeSetText', 'IntCmp', 'IntCmpU', 'IntFmt', 'IntOp', 'IsWindow', 'LockWindow', 'LogSet', 'LogText', |
11349 |
- 'MessageBox', 'Nop', 'Pop', 'Push', 'Quit', 'ReadEnvStr', 'ReadIniStr', 'ReadRegDWORD', 'ReadRegStr', |
11350 |
+ 'MessageBox', 'Nop', 'Pop', 'Push', 'Quit', 'ReadEnvStr', 'ReadINIStr', 'ReadRegDWORD', 'ReadRegStr', |
11351 |
'Reboot', 'RegDLL', 'Rename', 'ReserveFile', 'Return', 'RMDir', 'SearchPath', 'SectionGetFlags', |
11352 |
'SectionGetInstTypes', 'SectionGetSize', 'SectionGetText', 'SectionSetFlags', 'SectionSetInstTypes', |
11353 |
'SectionSetSize', 'SectionSetText', 'SendMessage', 'SetAutoClose', 'SetBrandingImage', 'SetCtlColors', |
11354 |
'SetCurInstType', 'SetDetailsPrint', 'SetDetailsView', 'SetErrorLevel', 'SetErrors', 'SetFileAttributes', |
11355 |
- 'SetOutPath', 'SetRebootFlag', 'SetShellVarContext', 'SetSilent', 'ShowWindow', 'Sleep', 'StrCmp', |
11356 |
+ 'SetOutPath', 'SetRebootFlag', 'SetRegView', 'SetShellVarContext', 'SetSilent', 'ShowWindow', 'Sleep', 'StrCmp', 'StrCmpS', |
11357 |
'StrCpy', 'StrLen', 'UnRegDLL', 'WriteINIStr', 'WriteRegBin', 'WriteRegDWORD', 'WriteRegExpandStr', |
11358 |
'WriteRegStr', 'WriteUninstaller' |
11359 |
), |
11360 |
@@ -347,5 +349,3 @@ $language_data = array ( |
11361 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
11362 |
) |
11363 |
); |
11364 |
- |
11365 |
-?> |
11366 |
|
11367 |
diff --git a/plugins/wp-syntax/geshi/geshi/oberon2.php b/plugins/wp-syntax/geshi/geshi/oberon2.php |
11368 |
index b43f814..30869ff 100644 |
11369 |
--- a/plugins/wp-syntax/geshi/geshi/oberon2.php |
11370 |
+++ b/plugins/wp-syntax/geshi/geshi/oberon2.php |
11371 |
@@ -4,7 +4,7 @@ |
11372 |
* ---------- |
11373 |
* Author: mbishop (mbishop@××××××××.org) |
11374 |
* Copyright: (c) 2009 mbishop (mbishop@××××××××.org) |
11375 |
- * Release Version: 1.0.8.11 |
11376 |
+ * Release Version: 1.0.8.12 |
11377 |
* Date Started: 2009/02/10 |
11378 |
* |
11379 |
* Oberon-2 language file for GeSHi. |
11380 |
@@ -131,5 +131,3 @@ $language_data = array ( |
11381 |
), |
11382 |
'TAB_WIDTH' => 4 |
11383 |
); |
11384 |
- |
11385 |
-?> |
11386 |
\ No newline at end of file |
11387 |
|
11388 |
diff --git a/plugins/wp-syntax/geshi/geshi/objc.php b/plugins/wp-syntax/geshi/geshi/objc.php |
11389 |
index 2f5162d..4da05b6 100644 |
11390 |
--- a/plugins/wp-syntax/geshi/geshi/objc.php |
11391 |
+++ b/plugins/wp-syntax/geshi/geshi/objc.php |
11392 |
@@ -5,7 +5,7 @@ |
11393 |
* Author: M. Uli Kusterer (witness.of.teachtext@×××.net) |
11394 |
* Contributors: Quinn Taylor (quinntaylor@×××.com) |
11395 |
* Copyright: (c) 2008 Quinn Taylor, 2004 M. Uli Kusterer, Nigel McNie (http://qbnz.com/highlighter/) |
11396 |
- * Release Version: 1.0.8.11 |
11397 |
+ * Release Version: 1.0.8.12 |
11398 |
* Date Started: 2004/06/04 |
11399 |
* |
11400 |
* Objective-C language file for GeSHi. |
11401 |
@@ -354,5 +354,3 @@ $language_data = array ( |
11402 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
11403 |
) |
11404 |
); |
11405 |
- |
11406 |
-?> |
11407 |
|
11408 |
diff --git a/plugins/wp-syntax/geshi/geshi/objeck.php b/plugins/wp-syntax/geshi/geshi/objeck.php |
11409 |
index bf9dab5..34dd091 100644 |
11410 |
--- a/plugins/wp-syntax/geshi/geshi/objeck.php |
11411 |
+++ b/plugins/wp-syntax/geshi/geshi/objeck.php |
11412 |
@@ -4,7 +4,7 @@ |
11413 |
* -------- |
11414 |
* Author: Randy Hollines (objeck@×××××.com) |
11415 |
* Copyright: (c) 2010 Randy Hollines (http://code.google.com/p/objeck-lang/) |
11416 |
- * Release Version: 1.0.8.11 |
11417 |
+ * Release Version: 1.0.8.12 |
11418 |
* Date Started: 2010/07/01 |
11419 |
* |
11420 |
* Objeck Programming Language language file for GeSHi. |
11421 |
@@ -112,5 +112,3 @@ $language_data = array( |
11422 |
'SCRIPT_DELIMITERS' => array(), |
11423 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
11424 |
); |
11425 |
- |
11426 |
-?> |
11427 |
\ No newline at end of file |
11428 |
|
11429 |
diff --git a/plugins/wp-syntax/geshi/geshi/ocaml-brief.php b/plugins/wp-syntax/geshi/geshi/ocaml-brief.php |
11430 |
index b518adf..84c74bf 100644 |
11431 |
--- a/plugins/wp-syntax/geshi/geshi/ocaml-brief.php |
11432 |
+++ b/plugins/wp-syntax/geshi/geshi/ocaml-brief.php |
11433 |
@@ -4,7 +4,7 @@ |
11434 |
* ---------- |
11435 |
* Author: Flaie (fireflaie@×××××.com) |
11436 |
* Copyright: (c) 2005 Flaie, Nigel McNie (http://qbnz.com/highlighter) |
11437 |
- * Release Version: 1.0.8.11 |
11438 |
+ * Release Version: 1.0.8.12 |
11439 |
* Date Started: 2005/08/27 |
11440 |
* |
11441 |
* OCaml (Objective Caml) language file for GeSHi. |
11442 |
@@ -108,5 +108,3 @@ $language_data = array ( |
11443 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
11444 |
) |
11445 |
); |
11446 |
- |
11447 |
-?> |
11448 |
|
11449 |
diff --git a/plugins/wp-syntax/geshi/geshi/ocaml.php b/plugins/wp-syntax/geshi/geshi/ocaml.php |
11450 |
index ac6c29b..364f556 100644 |
11451 |
--- a/plugins/wp-syntax/geshi/geshi/ocaml.php |
11452 |
+++ b/plugins/wp-syntax/geshi/geshi/ocaml.php |
11453 |
@@ -4,7 +4,7 @@ |
11454 |
* ---------- |
11455 |
* Author: Flaie (fireflaie@×××××.com) |
11456 |
* Copyright: (c) 2005 Flaie, Nigel McNie (http://qbnz.com/highlighter) |
11457 |
- * Release Version: 1.0.8.11 |
11458 |
+ * Release Version: 1.0.8.12 |
11459 |
* Date Started: 2005/08/27 |
11460 |
* |
11461 |
* OCaml (Objective Caml) language file for GeSHi. |
11462 |
@@ -183,5 +183,3 @@ $language_data = array ( |
11463 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
11464 |
) |
11465 |
); |
11466 |
- |
11467 |
-?> |
11468 |
\ No newline at end of file |
11469 |
|
11470 |
diff --git a/plugins/wp-syntax/geshi/geshi/octave.php b/plugins/wp-syntax/geshi/geshi/octave.php |
11471 |
index ccffcd9..4f6677f 100644 |
11472 |
--- a/plugins/wp-syntax/geshi/geshi/octave.php |
11473 |
+++ b/plugins/wp-syntax/geshi/geshi/octave.php |
11474 |
@@ -6,10 +6,10 @@ |
11475 |
* Juan Pablo Carbajal (carbajal@×××××××.ch) |
11476 |
* Copyright: (c) 2012 Carnë Draug |
11477 |
* (c) 2012 Juan Pablo Carbajal |
11478 |
- * Release Version: 1.0.8.11 |
11479 |
+ * Release Version: 1.0.8.12 |
11480 |
* Date Started: 2012/05/22 |
11481 |
* |
11482 |
- * GNU Octave M-file language file for GeSHi. |
11483 |
+ * GNU/Octave M-file language file for GeSHi. |
11484 |
* |
11485 |
* This file was heavily based on octave.lang from gtksourceview. If bugs are |
11486 |
* found and/or fixed on this file, please send them to the gtksourceview |
11487 |
@@ -41,7 +41,7 @@ |
11488 |
************************************************************************************/ |
11489 |
|
11490 |
$language_data = array ( |
11491 |
- 'LANG_NAME' => 'GNU Octave', |
11492 |
+ 'LANG_NAME' => 'GNU/Octave', |
11493 |
'COMMENT_SINGLE' => array(1 => '#', 2 => '%'), |
11494 |
// we can't use COMMENT_MULTI since start and end of block comments need to |
11495 |
// be alone on the line (optionally, with whitespace). See COMMENT_REGEXP |
11496 |
@@ -82,10 +82,14 @@ $language_data = array ( |
11497 |
GESHI_NUMBER_FLT_SCI_ZERO, |
11498 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
11499 |
'KEYWORDS' => array( |
11500 |
- // Data types |
11501 |
+ // Reserved words |
11502 |
1 => array( |
11503 |
- 'cell', 'char', 'double', 'uint8', 'uint16', 'uint32', 'uint64', |
11504 |
- 'int8','int16', 'int32', 'int64', 'logical', 'single', 'struct' |
11505 |
+ 'break', 'case', 'catch', 'continue', 'do', 'else', 'elseif', 'end', |
11506 |
+ 'end_try_catch', 'end_unwind_protect', 'endfor', 'endfunction', |
11507 |
+ 'endif', 'endparfor', 'endswitch', 'endwhile', 'for', 'function', |
11508 |
+ 'if', 'otherwise', 'parfor', 'return', |
11509 |
+ 'switch', 'try', 'until', 'unwind_protect', |
11510 |
+ 'unwind_protect_cleanup', 'varargin', 'varargout', 'while' |
11511 |
), |
11512 |
// Storage type |
11513 |
2 => array( |
11514 |
@@ -95,14 +99,10 @@ $language_data = array ( |
11515 |
3 => array( |
11516 |
'ans' |
11517 |
), |
11518 |
- // Reserved words |
11519 |
+ // Data types |
11520 |
4 => array( |
11521 |
- 'break', 'case', 'catch', 'continue', 'do', 'else', 'elseif', 'end', |
11522 |
- 'end_try_catch', 'end_unwind_protect', 'endfor', 'endfunction', |
11523 |
- 'endif', 'endparfor', 'endswitch', 'endwhile', 'for', 'function', |
11524 |
- 'if', 'otherwise', 'parfor', 'return', |
11525 |
- 'switch', 'try', 'until', 'unwind_protect', |
11526 |
- 'unwind_protect_cleanup', 'varargin', 'varargout', 'while' |
11527 |
+ 'cell', 'char', 'double', 'uint8', 'uint16', 'uint32', 'uint64', |
11528 |
+ 'int8','int16', 'int32', 'int64', 'logical', 'single', 'struct' |
11529 |
), |
11530 |
// Built in |
11531 |
5 => array( |
11532 |
@@ -370,7 +370,7 @@ $language_data = array ( |
11533 |
'__pathorig__', '__profiler_data__', '__profiler_enable__', |
11534 |
'__profiler_reset__', '__request_drawnow__', '__sort_rows_idx__', |
11535 |
'__token_count__', '__varval__', '__version_info__', '__which__' |
11536 |
- ), |
11537 |
+ ), |
11538 |
// Private Octave functions |
11539 |
8 => array( |
11540 |
'__all_opts__', '__contourc__', '__delaunayn__', '__dispatch__', |
11541 |
@@ -445,10 +445,10 @@ $language_data = array ( |
11542 |
10 => true, |
11543 |
), |
11544 |
'URLS' => array( |
11545 |
- 1 => 'http://octave.sourceforge.net/octave/function/{FNAME}.html', |
11546 |
+ 1 => '', |
11547 |
2 => '', |
11548 |
3 => '', |
11549 |
- 4 => '', |
11550 |
+ 4 => 'http://octave.sourceforge.net/octave/function/{FNAME}.html', |
11551 |
5 => 'http://octave.sourceforge.net/octave/function/{FNAME}.html', |
11552 |
6 => 'http://octave.sourceforge.net/octave/function/{FNAME}.html', |
11553 |
7 => '', |
11554 |
@@ -466,8 +466,8 @@ $language_data = array ( |
11555 |
'HIGHLIGHT_STRICT_BLOCK' => array(), |
11556 |
'STYLES' => array( |
11557 |
'COMMENTS' => array( |
11558 |
- 1 => 'color: #0000FF; font-style: italic;', // single quote strings |
11559 |
- 2 => 'color: #0000FF; font-style: italic;', // double quote strings |
11560 |
+ 1 => 'color: #0000FF; font-style: italic;', // comments with # |
11561 |
+ 2 => 'color: #0000FF; font-style: italic;', // comments with % |
11562 |
3 => 'color: #FF00FF; font-style: italic;', // single quote strings |
11563 |
4 => 'color: #FF00FF; font-style: italic;', // double quote strings |
11564 |
5 => 'color: #0000FF; font-style: italic;', // block comments |
11565 |
@@ -476,10 +476,10 @@ $language_data = array ( |
11566 |
'MULTI' => 'color: #0000FF; font-style: italic;', |
11567 |
), |
11568 |
'KEYWORDS' => array( |
11569 |
- 1 => 'color: #2E8B57; font-weight:bold;', // Data types |
11570 |
+ 1 => 'color: #990000; font-weight:bold;', // Reserved words |
11571 |
2 => 'color: #2E8B57;', // Storage type |
11572 |
3 => 'color: #0000FF; font-weight:bold;', // Internal variable |
11573 |
- 4 => 'color: #990000; font-weight:bold;', // Reserved words |
11574 |
+ 4 => 'color: #2E8B57; font-weight:bold;', // Data types |
11575 |
5 => 'color: #008A8C; font-weight:bold;', // Built-in |
11576 |
6 => 'color: #008A8C;', // Octave functions |
11577 |
9 => 'color: #000000; font-weight:bold;', // Builtin Global Variables |
11578 |
@@ -511,5 +511,3 @@ $language_data = array ( |
11579 |
'SCRIPT' => array(), |
11580 |
) |
11581 |
); |
11582 |
- |
11583 |
-?> |
11584 |
|
11585 |
diff --git a/plugins/wp-syntax/geshi/geshi/oobas.php b/plugins/wp-syntax/geshi/geshi/oobas.php |
11586 |
index ff75af6..4a314df 100644 |
11587 |
--- a/plugins/wp-syntax/geshi/geshi/oobas.php |
11588 |
+++ b/plugins/wp-syntax/geshi/geshi/oobas.php |
11589 |
@@ -4,7 +4,7 @@ |
11590 |
* --------- |
11591 |
* Author: Roberto Rossi (rsoftware@××××××××××.org) |
11592 |
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter) |
11593 |
- * Release Version: 1.0.8.11 |
11594 |
+ * Release Version: 1.0.8.12 |
11595 |
* Date Started: 2004/08/30 |
11596 |
* |
11597 |
* OpenOffice.org Basic language file for GeSHi. |
11598 |
@@ -131,5 +131,3 @@ $language_data = array ( |
11599 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
11600 |
) |
11601 |
); |
11602 |
- |
11603 |
-?> |
11604 |
|
11605 |
diff --git a/plugins/wp-syntax/geshi/geshi/oorexx.php b/plugins/wp-syntax/geshi/geshi/oorexx.php |
11606 |
index 62c6cc4..7eda35e 100644 |
11607 |
--- a/plugins/wp-syntax/geshi/geshi/oorexx.php |
11608 |
+++ b/plugins/wp-syntax/geshi/geshi/oorexx.php |
11609 |
@@ -6,7 +6,7 @@ |
11610 |
* Contributors: |
11611 |
* - Walter Pachl (pachl@××××××.at) |
11612 |
* Copyright: (c) 2008 Jon Wolfers, (c) 2012 Walter Pachl |
11613 |
- * Release Version: 1.0.8.11 |
11614 |
+ * Release Version: 1.0.8.12 |
11615 |
* Date Started: 2008/01/07 |
11616 |
* |
11617 |
* ooRexx language file for GeSHi. |
11618 |
@@ -167,5 +167,3 @@ $language_data = array ( |
11619 |
), |
11620 |
'TAB_WIDTH' => 4 |
11621 |
); |
11622 |
- |
11623 |
-?> |
11624 |
|
11625 |
diff --git a/plugins/wp-syntax/geshi/geshi/oracle11.php b/plugins/wp-syntax/geshi/geshi/oracle11.php |
11626 |
index 16259e6..8681236 100644 |
11627 |
--- a/plugins/wp-syntax/geshi/geshi/oracle11.php |
11628 |
+++ b/plugins/wp-syntax/geshi/geshi/oracle11.php |
11629 |
@@ -6,7 +6,7 @@ |
11630 |
* Contributions: |
11631 |
* - Updated for 11i by Simon Redhead |
11632 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter) |
11633 |
- * Release Version: 1.0.8.11 |
11634 |
+ * Release Version: 1.0.8.12 |
11635 |
* Date Started: 2004/06/04 |
11636 |
* |
11637 |
* Oracle 11i language file for GeSHi. |
11638 |
@@ -610,5 +610,3 @@ $language_data = array ( |
11639 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
11640 |
) |
11641 |
); |
11642 |
- |
11643 |
-?> |
11644 |
|
11645 |
diff --git a/plugins/wp-syntax/geshi/geshi/oracle8.php b/plugins/wp-syntax/geshi/geshi/oracle8.php |
11646 |
index 145bda4..acf6d99 100644 |
11647 |
--- a/plugins/wp-syntax/geshi/geshi/oracle8.php |
11648 |
+++ b/plugins/wp-syntax/geshi/geshi/oracle8.php |
11649 |
@@ -4,7 +4,7 @@ |
11650 |
* ----------- |
11651 |
* Author: Guy Wicks (Guy.Wicks@××××××.uk) |
11652 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter) |
11653 |
- * Release Version: 1.0.8.11 |
11654 |
+ * Release Version: 1.0.8.12 |
11655 |
* Date Started: 2004/06/04 |
11656 |
* |
11657 |
* Oracle 8 language file for GeSHi. |
11658 |
@@ -492,5 +492,3 @@ $language_data = array ( |
11659 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
11660 |
) |
11661 |
); |
11662 |
- |
11663 |
-?> |
11664 |
|
11665 |
diff --git a/plugins/wp-syntax/geshi/geshi/oxygene.php b/plugins/wp-syntax/geshi/geshi/oxygene.php |
11666 |
index bc2ee65..2ee1998 100644 |
11667 |
--- a/plugins/wp-syntax/geshi/geshi/oxygene.php |
11668 |
+++ b/plugins/wp-syntax/geshi/geshi/oxygene.php |
11669 |
@@ -4,7 +4,7 @@ |
11670 |
* ---------- |
11671 |
* Author: Carlo Kok (ck@××××××××××.com), J�rja Norbert (jnorbi@×××××××.hu), Benny Baumann (BenBE@××××××××.de) |
11672 |
* Copyright: (c) 2004 J�rja Norbert, Benny Baumann (BenBE@××××××××.de), Nigel McNie (http://qbnz.com/highlighter) |
11673 |
- * Release Version: 1.0.8.11 |
11674 |
+ * Release Version: 1.0.8.12 |
11675 |
* Date Started: 2010/01/11 |
11676 |
* |
11677 |
* Delphi Prism (Oxygene) language file for GeSHi. |
11678 |
@@ -12,6 +12,8 @@ |
11679 |
* |
11680 |
* CHANGES |
11681 |
* ------- |
11682 |
+ * 2014/01/09 (1.0.8.12) |
11683 |
+ * - New keywords & updated languge name |
11684 |
* 2012/06/28 (1.0.8.11) |
11685 |
* - Added "write" keyword for properties |
11686 |
* 2010/01/11 (1.0.0) |
11687 |
@@ -38,7 +40,7 @@ |
11688 |
************************************************************************************/ |
11689 |
|
11690 |
$language_data = array ( |
11691 |
- 'LANG_NAME' => 'Oxygene (Delphi Prism)', |
11692 |
+ 'LANG_NAME' => 'Oxygene', |
11693 |
'COMMENT_SINGLE' => array(1 => '//'), |
11694 |
'COMMENT_MULTI' => array('(*' => '*)', '{' => '}'), |
11695 |
//Compiler directives |
11696 |
@@ -58,7 +60,7 @@ $language_data = array ( |
11697 |
'false', 'new', 'ensure', 'require', 'on', 'event', 'delegate', 'method', |
11698 |
'raise', 'assembly', 'module', 'using','locking', 'old', 'invariants', 'operator', |
11699 |
'self', 'async', 'finalizer', 'where', 'yield', 'nullable', 'Future', |
11700 |
- 'From', 'Finally', 'dynamic' |
11701 |
+ 'From', 'Finally', 'dynamic', 'mapped' |
11702 |
), |
11703 |
2 => array( |
11704 |
'override', 'virtual', 'External', 'read', 'add', 'remove','final', 'abstract', |
11705 |
@@ -68,7 +70,8 @@ $language_data = array ( |
11706 |
'Implies', 'Select', 'Order', 'By', 'Desc', 'Asc', 'Group', 'Join', 'Take', |
11707 |
'Skip', 'Concat', 'Union', 'Reverse', 'Distinct', 'Into', 'Equals', 'params', |
11708 |
'sequence', 'index', 'notify', 'Parallel', 'create', 'array', 'Queryable', 'Aspect', |
11709 |
- 'volatile', 'write' |
11710 |
+ 'volatile', 'write', 'autoreleasepool', 'await', 'block', 'deprecated', 'extension', |
11711 |
+ 'optional', 'raises', 'selector', 'strong', 'weak', 'tuple', 'unretained' |
11712 |
), |
11713 |
3 => array( |
11714 |
'chr', 'ord', 'inc', 'dec', 'assert', 'iff', 'assigned','futureAssigned', 'length', 'low', 'high', 'typeOf', 'sizeOf', 'disposeAndNil', 'Coalesce', 'unquote' |
11715 |
@@ -150,5 +153,3 @@ $language_data = array ( |
11716 |
), |
11717 |
'TAB_WIDTH' => 2 |
11718 |
); |
11719 |
- |
11720 |
-?> |
11721 |
\ No newline at end of file |
11722 |
|
11723 |
diff --git a/plugins/wp-syntax/geshi/geshi/oz.php b/plugins/wp-syntax/geshi/geshi/oz.php |
11724 |
index d24561b..e31852d 100644 |
11725 |
--- a/plugins/wp-syntax/geshi/geshi/oz.php |
11726 |
+++ b/plugins/wp-syntax/geshi/geshi/oz.php |
11727 |
@@ -4,7 +4,7 @@ |
11728 |
* -------- |
11729 |
* Author: Wolfgang Meyer (Wolfgang.Meyer@×××.net) |
11730 |
* Copyright: (c) 2010 Wolfgang Meyer |
11731 |
- * Release Version: 1.0.8.11 |
11732 |
+ * Release Version: 1.0.8.12 |
11733 |
* Date Started: 2010/01/03 |
11734 |
* |
11735 |
* Oz language file for GeSHi. |
11736 |
@@ -140,5 +140,3 @@ $language_data = array( |
11737 |
) |
11738 |
) |
11739 |
); |
11740 |
- |
11741 |
-?> |
11742 |
\ No newline at end of file |
11743 |
|
11744 |
diff --git a/plugins/wp-syntax/geshi/geshi/parasail.php b/plugins/wp-syntax/geshi/geshi/parasail.php |
11745 |
index 864eba1..98e2ab8 100644 |
11746 |
--- a/plugins/wp-syntax/geshi/geshi/parasail.php |
11747 |
+++ b/plugins/wp-syntax/geshi/geshi/parasail.php |
11748 |
@@ -4,7 +4,7 @@ |
11749 |
* ------- |
11750 |
* Author: T. Taft (taft@×××××××.com) |
11751 |
* Copyright: (c) 2012 AdaCore (http://adacore.com/) |
11752 |
- * Release Version: 1.0.8.11 |
11753 |
+ * Release Version: 1.0.8.12 |
11754 |
* Date Started: 2012/08/02 |
11755 |
* |
11756 |
* ParaSail language file for GeSHi. |
11757 |
@@ -58,10 +58,10 @@ $language_data = array ( |
11758 |
), |
11759 |
3 => array( |
11760 |
'abstract', 'class', |
11761 |
- 'concurrent', 'const', |
11762 |
- 'end', 'extends', 'exports', |
11763 |
+ 'concurrent', 'const', |
11764 |
+ 'end', 'extends', 'exports', |
11765 |
'func', 'global', 'implements', 'import', |
11766 |
- 'interface', 'is', 'lambda', 'locked', |
11767 |
+ 'interface', 'is', 'lambda', 'locked', |
11768 |
'new', 'of', 'op', 'optional', |
11769 |
'private', 'queued', 'ref', |
11770 |
'separate', 'type', 'var', |
11771 |
@@ -92,9 +92,6 @@ $language_data = array ( |
11772 |
'ESCAPE_CHAR' => array( |
11773 |
0 => 'color: #000099; font-weight: bold;' |
11774 |
), |
11775 |
- 'BRACKETS' => array( |
11776 |
- 0 => 'color: #66cc66;' |
11777 |
- ), |
11778 |
'STRINGS' => array( |
11779 |
0 => 'color: #7f007f;' |
11780 |
), |
11781 |
@@ -129,5 +126,3 @@ $language_data = array ( |
11782 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
11783 |
) |
11784 |
); |
11785 |
- |
11786 |
-?> |
11787 |
\ No newline at end of file |
11788 |
|
11789 |
diff --git a/plugins/wp-syntax/geshi/geshi/parigp.php b/plugins/wp-syntax/geshi/geshi/parigp.php |
11790 |
index c9c7309..1a5d4a7 100644 |
11791 |
--- a/plugins/wp-syntax/geshi/geshi/parigp.php |
11792 |
+++ b/plugins/wp-syntax/geshi/geshi/parigp.php |
11793 |
@@ -3,8 +3,8 @@ |
11794 |
* parigp.php |
11795 |
* -------- |
11796 |
* Author: Charles R Greathouse IV (charles@××××.com) |
11797 |
- * Copyright: 2011 Charles R Greathouse IV (http://math.crg4.com/) |
11798 |
- * Release Version: 1.0.8.11 |
11799 |
+ * Copyright: 2011-2013 Charles R Greathouse IV (http://math.crg4.com/) |
11800 |
+ * Release Version: 1.0.8.12 |
11801 |
* Date Started: 2011/05/11 |
11802 |
* |
11803 |
* PARI/GP language file for GeSHi. |
11804 |
@@ -13,6 +13,8 @@ |
11805 |
* ------- |
11806 |
* 2011/07/09 (1.0.8.11) |
11807 |
* - First Release |
11808 |
+ * 2013/02/05 (1.0.8.12) |
11809 |
+ * - Added 2.6.0 commands, default, member functions, and error-handling |
11810 |
* |
11811 |
* TODO (updated 2011/07/09) |
11812 |
* ------------------------- |
11813 |
@@ -53,51 +55,66 @@ $language_data = array( |
11814 |
), |
11815 |
'KEYWORDS' => array( |
11816 |
1 => array( |
11817 |
- 'addprimes','bestappr','bezout','bezoutres','bigomega','binomial', |
11818 |
- 'chinese','content','contfrac','contfracpnqn','core','coredisc', |
11819 |
- 'dirdiv','direuler','dirmul','divisors','eulerphi','factor', |
11820 |
- 'factorback','factorcantor','factorff','factorial','factorint', |
11821 |
- 'factormod','ffgen','ffinit','fflog','fforder','ffprimroot', |
11822 |
- 'fibonacci','gcd','hilbert','isfundamental','ispower','isprime', |
11823 |
- 'ispseudoprime','issquare','issquarefree','kronecker','lcm', |
11824 |
- 'moebius','nextprime','numbpart','numdiv','omega','partitions', |
11825 |
- 'polrootsff','precprime','prime','primepi','primes','qfbclassno', |
11826 |
- 'qfbcompraw','qfbhclassno','qfbnucomp','qfbnupow','qfbpowraw', |
11827 |
- 'qfbprimeform','qfbred','qfbsolve','quadclassunit','quaddisc', |
11828 |
- 'quadgen','quadhilbert','quadpoly','quadray','quadregulator', |
11829 |
- 'quadunit','removeprimes','sigma','sqrtint','stirling', |
11830 |
- 'sumdedekind','zncoppersmith','znlog','znorder','znprimroot', |
11831 |
- 'znstar','Col','List','Mat','Mod','Pol','Polrev','Qfb','Ser','Set', |
11832 |
- 'Str','Strchr','Strexpand','Strtex','Vec','Vecrev','Vecsmall', |
11833 |
- 'binary','bitand','bitneg','bitnegimply','bitor','bittest','bitxor', |
11834 |
- 'ceil','centerlift','component','conj','conjvec','denominator', |
11835 |
- 'floor','frac','imag','length','lift','norm','norml2','numerator', |
11836 |
- 'numtoperm','padicprec','permtonum','precision','random','real', |
11837 |
- 'round','simplify','sizebyte','sizedigit','truncate','valuation', |
11838 |
- 'variable','ellL1','elladd','ellak','ellan','ellanalyticrank', |
11839 |
- 'ellap','ellbil','ellchangecurve','ellchangepoint','ellconvertname', |
11840 |
- 'elldivpol','elleisnum','elleta','ellgenerators','ellglobalred', |
11841 |
- 'ellgroup','ellheight','ellheightmatrix','ellidentify','ellinit', |
11842 |
- 'ellisoncurve','ellj','elllocalred','elllog','elllseries', |
11843 |
- 'ellminimalmodel','ellmodulareqn','ellorder','ellordinate', |
11844 |
- 'ellpointtoz','ellpow','ellrootno','ellsearch','ellsigma','ellsub', |
11845 |
- 'elltaniyama','elltatepairing','elltors','ellweilpairing','ellwp', |
11846 |
- 'ellzeta','ellztopoint','bnfcertify','bnfcompress', |
11847 |
- 'bnfdecodemodule','bnfinit','bnfisintnorm','bnfisnorm', |
11848 |
- 'bnfisprincipal','bnfissunit','bnfisunit','bnfnarrow','bnfsignunit', |
11849 |
- 'bnfsunit','bnrL1','bnrclassno','bnrclassnolist','bnrconductor', |
11850 |
- 'bnrconductorofchar','bnrdisc','bnrdisclist','bnrinit', |
11851 |
- 'bnrisconductor','bnrisprincipal','bnrrootnumber','bnrstark', |
11852 |
- 'dirzetak','factornf','galoisexport','galoisfixedfield', |
11853 |
- 'galoisgetpol','galoisidentify','galoisinit','galoisisabelian', |
11854 |
- 'galoisisnormal','galoispermtopol','galoissubcyclo', |
11855 |
- 'galoissubfields','galoissubgroups','idealadd','idealaddtoone', |
11856 |
- 'idealappr','idealchinese','idealcoprime','idealdiv','idealfactor', |
11857 |
- 'idealfactorback','idealfrobenius','idealhnf','idealintersect', |
11858 |
- 'idealinv','ideallist','ideallistarch','ideallog','idealmin', |
11859 |
- 'idealmul','idealnorm','idealpow','idealprimedec','idealramgroups', |
11860 |
- 'idealred','idealstar','idealtwoelt','idealval','matalgtobasis', |
11861 |
- 'matbasistoalg','modreverse','newtonpoly','nfalgtobasis','nfbasis', |
11862 |
+ 'abs','acos','acosh','addhelp','addprimes','agm','alarm','algdep', |
11863 |
+ 'alias','allocatemem','apply','arg','asin','asinh','atan','atanh', |
11864 |
+ 'bernfrac','bernpol','bernreal','bernvec','besselh1','besselh2', |
11865 |
+ 'besseli','besselj','besseljh','besselk','besseln','bestappr', |
11866 |
+ 'bestapprPade','bezout','bezoutres','bigomega','binary','binomial', |
11867 |
+ 'bitand','bitneg','bitnegimply','bitor','bittest','bitxor', |
11868 |
+ 'bnfcertify','bnfcompress','bnfdecodemodule','bnfinit', |
11869 |
+ 'bnfisintnorm','bnfisnorm','bnfisprincipal','bnfissunit', |
11870 |
+ 'bnfisunit','bnfnarrow','bnfsignunit','bnfsunit','bnrclassno', |
11871 |
+ 'bnrclassnolist','bnrconductor','bnrconductorofchar','bnrdisc', |
11872 |
+ 'bnrdisclist','bnrinit','bnrisconductor','bnrisprincipal','bnrL1', |
11873 |
+ 'bnrrootnumber','bnrstark','break','breakpoint','Catalan','ceil', |
11874 |
+ 'centerlift','charpoly','chinese','cmp','Col','component','concat', |
11875 |
+ 'conj','conjvec','content','contfrac','contfracpnqn','core', |
11876 |
+ 'coredisc','cos','cosh','cotan','dbg_down','dbg_err','dbg_up', |
11877 |
+ 'dbg_x','default','denominator','deriv','derivnum','diffop', |
11878 |
+ 'digits','dilog','dirdiv','direuler','dirmul','dirzetak','divisors', |
11879 |
+ 'divrem','eint1','elladd','ellak','ellan','ellanalyticrank','ellap', |
11880 |
+ 'ellbil','ellcard','ellchangecurve','ellchangepoint', |
11881 |
+ 'ellconvertname','elldivpol','elleisnum','elleta','ellffinit', |
11882 |
+ 'ellfromj','ellgenerators','ellglobalred','ellgroup','ellheegner', |
11883 |
+ 'ellheight','ellheightmatrix','ellidentify','ellinit', |
11884 |
+ 'ellisoncurve','ellj','ellL1','elllocalred','elllog','elllseries', |
11885 |
+ 'ellminimalmodel','ellmodulareqn','ellmul','ellneg','ellorder', |
11886 |
+ 'ellordinate','ellpointtoz','ellrootno','ellsearch','ellsigma', |
11887 |
+ 'ellsub','elltaniyama','elltatepairing','elltors','ellweilpairing', |
11888 |
+ 'ellwp','ellzeta','ellztopoint','erfc','errname','error','eta','Euler', |
11889 |
+ 'eulerphi','eval','exp','extern','externstr','factor','factorback', |
11890 |
+ 'factorcantor','factorff','factorial','factorint','factormod', |
11891 |
+ 'factornf','factorpadic','ffgen','ffinit','fflog','ffnbirred', |
11892 |
+ 'fforder','ffprimroot','fibonacci','floor','for','forcomposite','fordiv','forell', |
11893 |
+ 'forprime','forqfvec','forstep','forsubgroup','forvec','frac','galoisexport', |
11894 |
+ 'galoisfixedfield','galoisgetpol','galoisidentify','galoisinit', |
11895 |
+ 'galoisisabelian','galoisisnormal','galoispermtopol', |
11896 |
+ 'galoissubcyclo','galoissubfields','galoissubgroups','gamma', |
11897 |
+ 'gammah','gcd','getenv','getheap','getrand','getstack','gettime', |
11898 |
+ 'global','hammingweight','hilbert','hyperu','I','idealadd', |
11899 |
+ 'idealaddtoone','idealappr','idealchinese','idealcoprime', |
11900 |
+ 'idealdiv','idealfactor','idealfactorback','idealfrobenius', |
11901 |
+ 'idealhnf','idealintersect','idealinv','ideallist','ideallistarch', |
11902 |
+ 'ideallog','idealmin','idealmul','idealnorm','idealnumden', |
11903 |
+ 'idealpow','idealprimedec','idealramgroups','idealred','idealstar', |
11904 |
+ 'idealtwoelt','idealval','if','iferr','iferrname','imag','incgam','incgamc','input', |
11905 |
+ 'install','intcirc','intformal','intfouriercos','intfourierexp', |
11906 |
+ 'intfouriersin','intfuncinit','intlaplaceinv','intmellininv', |
11907 |
+ 'intmellininvshort','intnum','intnuminit','intnuminitgen', |
11908 |
+ 'intnumromb','intnumstep','isfundamental','ispolygonal','ispower','ispowerful', |
11909 |
+ 'isprime','isprimepower','ispseudoprime','issquare','issquarefree','istotient', |
11910 |
+ 'kill','kronecker','lcm','length','lex','lift','lindep','List', |
11911 |
+ 'listcreate','listinsert','listkill','listpop','listput','listsort', |
11912 |
+ 'lngamma','local','log','Mat','matadjoint','matalgtobasis', |
11913 |
+ 'matbasistoalg','matcompanion','matconcat','matcontent','matdet','matdetint', |
11914 |
+ 'matdiagonal','mateigen','matfrobenius','mathess','mathilbert', |
11915 |
+ 'mathnf','mathnfmod','mathnfmodid','matid','matimage', |
11916 |
+ 'matimagecompl','matindexrank','matintersect','matinverseimage', |
11917 |
+ 'matisdiagonal','matker','matkerint','matmuldiagonal', |
11918 |
+ 'matmultodiagonal','matpascal','matrank','matrix','matrixqz', |
11919 |
+ 'matsize','matsnf','matsolve','matsolvemod','matsupplement', |
11920 |
+ 'mattranspose','max','min','minpoly','Mod','modreverse','moebius', |
11921 |
+ 'my','newtonpoly','next','nextprime','nfalgtobasis','nfbasis', |
11922 |
'nfbasistoalg','nfdetint','nfdisc','nfeltadd','nfeltdiv', |
11923 |
'nfeltdiveuc','nfeltdivmodpr','nfeltdivrem','nfeltmod','nfeltmul', |
11924 |
'nfeltmulmodpr','nfeltnorm','nfeltpow','nfeltpowmodpr', |
11925 |
@@ -105,63 +122,52 @@ $language_data = array( |
11926 |
'nffactorback','nffactormod','nfgaloisapply','nfgaloisconj', |
11927 |
'nfhilbert','nfhnf','nfhnfmod','nfinit','nfisideal','nfisincl', |
11928 |
'nfisisom','nfkermodpr','nfmodprinit','nfnewprec','nfroots', |
11929 |
- 'nfrootsof1','nfsnf','nfsolvemodpr','nfsubfields','polcompositum', |
11930 |
- 'polgalois','polred','polredabs','polredord','poltschirnhaus', |
11931 |
- 'rnfalgtobasis','rnfbasis','rnfbasistoalg','rnfcharpoly', |
11932 |
- 'rnfconductor','rnfdedekind','rnfdet','rnfdisc','rnfeltabstorel', |
11933 |
- 'rnfeltdown','rnfeltreltoabs','rnfeltup','rnfequation', |
11934 |
- 'rnfhnfbasis','rnfidealabstorel','rnfidealdown','rnfidealhnf', |
11935 |
- 'rnfidealmul','rnfidealnormabs','rnfidealnormrel', |
11936 |
+ 'nfrootsof1','nfsnf','nfsolvemodpr','nfsubfields','norm','norml2', |
11937 |
+ 'numbpart','numdiv','numerator','numtoperm','O','omega','padicappr', |
11938 |
+ 'padicfields','padicprec','partitions','permtonum','Pi','plot', |
11939 |
+ 'plotbox','plotclip','plotcolor','plotcopy','plotcursor','plotdraw', |
11940 |
+ 'ploth','plothraw','plothsizes','plotinit','plotkill','plotlines', |
11941 |
+ 'plotlinetype','plotmove','plotpoints','plotpointsize', |
11942 |
+ 'plotpointtype','plotrbox','plotrecth','plotrecthraw','plotrline', |
11943 |
+ 'plotrmove','plotrpoint','plotscale','plotstring','Pol', |
11944 |
+ 'polchebyshev','polcoeff','polcompositum','polcyclo','polcyclofactors','poldegree', |
11945 |
+ 'poldisc','poldiscreduced','polgalois','polgraeffe','polhensellift', |
11946 |
+ 'polhermite','polinterpolate','poliscyclo','poliscycloprod', |
11947 |
+ 'polisirreducible','pollead','pollegendre','polrecip','polred', |
11948 |
+ 'polredabs','polredbest','polredord','polresultant','Polrev','polroots', |
11949 |
+ 'polrootsff','polrootsmod','polrootspadic','polsturm','polsubcyclo', |
11950 |
+ 'polsylvestermatrix','polsym','poltchebi','poltschirnhaus', |
11951 |
+ 'polylog','polzagier','precision','precprime','prime','primepi', |
11952 |
+ 'primes','print','print1','printf','printsep','printtex','prod','prodeuler', |
11953 |
+ 'prodinf','psdraw','psi','psploth','psplothraw','Qfb','qfbclassno', |
11954 |
+ 'qfbcompraw','qfbhclassno','qfbnucomp','qfbnupow','qfbpowraw', |
11955 |
+ 'qfbprimeform','qfbred','qfbsolve','qfgaussred','qfjacobi','qflll', |
11956 |
+ 'qflllgram','qfminim','qfperfection','qfrep','qfsign', |
11957 |
+ 'quadclassunit','quaddisc','quadgen','quadhilbert','quadpoly', |
11958 |
+ 'quadray','quadregulator','quadunit','quit','random','randomprime','read', |
11959 |
+ 'readvec','real','removeprimes','return','rnfalgtobasis','rnfbasis', |
11960 |
+ 'rnfbasistoalg','rnfcharpoly','rnfconductor','rnfdedekind','rnfdet', |
11961 |
+ 'rnfdisc','rnfeltabstorel','rnfeltdown','rnfeltreltoabs','rnfeltup', |
11962 |
+ 'rnfequation','rnfhnfbasis','rnfidealabstorel','rnfidealdown', |
11963 |
+ 'rnfidealhnf','rnfidealmul','rnfidealnormabs','rnfidealnormrel', |
11964 |
'rnfidealreltoabs','rnfidealtwoelt','rnfidealup','rnfinit', |
11965 |
'rnfisabelian','rnfisfree','rnfisnorm','rnfisnorminit','rnfkummer', |
11966 |
'rnflllgram','rnfnormgroup','rnfpolred','rnfpolredabs', |
11967 |
- 'rnfpseudobasis','rnfsteinitz','subgrouplist','zetak','zetakinit', |
11968 |
- 'plot','plotbox','plotclip','plotcolor','plotcopy','plotcursor', |
11969 |
- 'plotdraw','ploth','plothraw','plothsizes','plotinit','plotkill', |
11970 |
- 'plotlines','plotlinetype','plotmove','plotpoints','plotpointsize', |
11971 |
- 'plotpointtype','plotrbox','plotrecth','plotrecthraw','plotrline', |
11972 |
- 'plotrmove','plotrpoint','plotscale','plotstring','psdraw', |
11973 |
- 'psploth','psplothraw','O','deriv','diffop','eval','factorpadic', |
11974 |
- 'intformal','padicappr','padicfields','polchebyshev','polcoeff', |
11975 |
- 'polcyclo','poldegree','poldisc','poldiscreduced','polhensellift', |
11976 |
- 'polhermite','polinterpolate','polisirreducible','pollead', |
11977 |
- 'pollegendre','polrecip','polresultant','polroots','polrootsmod', |
11978 |
- 'polrootspadic','polsturm','polsubcyclo','polsylvestermatrix', |
11979 |
- 'polsym','poltchebi','polzagier','serconvol','serlaplace', |
11980 |
- 'serreverse','subst','substpol','substvec','taylor','thue', |
11981 |
- 'thueinit','break','for','fordiv','forell','forprime','forstep', |
11982 |
- 'forsubgroup','forvec','if','next','return','until','while', |
11983 |
- 'Strprintf','addhelp','alarm','alias','allocatemem','apply', |
11984 |
- 'default','error','extern','externstr','getheap','getrand', |
11985 |
- 'getstack','gettime','global','input','install','kill','print1', |
11986 |
- 'print','printf','printtex','quit','read','readvec','select', |
11987 |
- 'setrand','system','trap','type','version','warning','whatnow', |
11988 |
- 'write1','write','writebin','writetex','divrem','lex','max','min', |
11989 |
- 'shift','shiftmul','sign','vecmax','vecmin','derivnum','intcirc', |
11990 |
- 'intfouriercos','intfourierexp','intfouriersin','intfuncinit', |
11991 |
- 'intlaplaceinv','intmellininv','intmellininvshort','intnum', |
11992 |
- 'intnuminit','intnuminitgen','intnumromb','intnumstep','prod', |
11993 |
- 'prodeuler','prodinf','solve','sum','sumalt','sumdiv','suminf', |
11994 |
- 'sumnum','sumnumalt','sumnuminit','sumpos','Euler','I','Pi','abs', |
11995 |
- 'acos','acosh','agm','arg','asin','asinh','atan','atanh','bernfrac', |
11996 |
- 'bernreal','bernvec','besselh1','besselh2','besseli','besselj', |
11997 |
- 'besseljh','besselk','besseln','cos','cosh','cotan','dilog','eint1', |
11998 |
- 'erfc','eta','exp','gamma','gammah','hyperu','incgam','incgamc', |
11999 |
- 'lngamma','log','polylog','psi','sin','sinh','sqr','sqrt','sqrtn', |
12000 |
- 'tan','tanh','teichmuller','theta','thetanullk','weber','zeta', |
12001 |
- 'algdep','charpoly','concat','lindep','listcreate','listinsert', |
12002 |
- 'listkill','listpop','listput','listsort','matadjoint', |
12003 |
- 'matcompanion','matdet','matdetint','matdiagonal','mateigen', |
12004 |
- 'matfrobenius','mathess','mathilbert','mathnf','mathnfmod', |
12005 |
- 'mathnfmodid','matid','matimage','matimagecompl','matindexrank', |
12006 |
- 'matintersect','matinverseimage','matisdiagonal','matker', |
12007 |
- 'matkerint','matmuldiagonal','matmultodiagonal','matpascal', |
12008 |
- 'matrank','matrix','matrixqz','matsize','matsnf','matsolve', |
12009 |
- 'matsolvemod','matsupplement','mattranspose','minpoly','qfgaussred', |
12010 |
- 'qfjacobi','qflll','qflllgram','qfminim','qfperfection','qfrep', |
12011 |
- 'qfsign','setintersect','setisset','setminus','setsearch','cmp', |
12012 |
- 'setunion','trace','vecextract','vecsort','vector','vectorsmall', |
12013 |
- 'vectorv','ellheegner' |
12014 |
+ 'rnfpseudobasis','rnfsteinitz','round','select','Ser','serconvol', |
12015 |
+ 'serlaplace','serreverse','Set','setbinop','setintersect', |
12016 |
+ 'setisset','setminus','setrand','setsearch','setunion','shift', |
12017 |
+ 'shiftmul','sigma','sign','simplify','sin','sinh','sizebyte', |
12018 |
+ 'sizedigit','solve','sqr','sqrt','sqrtint','sqrtn','sqrtnint','stirling','Str', |
12019 |
+ 'Strchr','Strexpand','Strprintf','Strtex','subgrouplist','subst', |
12020 |
+ 'substpol','substvec','sum','sumalt','sumdedekind','sumdiv','sumdivmult','sumdigits', |
12021 |
+ 'sumformal','suminf','sumnum','sumnumalt','sumnuminit','sumpos','system','tan', |
12022 |
+ 'tanh','taylor','teichmuller','theta','thetanullk','thue', |
12023 |
+ 'thueinit','trace','trap','truncate','type','until','valuation', |
12024 |
+ 'variable','Vec','vecextract','vecmax','vecmin','Vecrev', |
12025 |
+ 'vecsearch','Vecsmall','vecsort','vector','vectorsmall','vectorv', |
12026 |
+ 'version','warning','weber','whatnow','while','write','write1', |
12027 |
+ 'writebin','writetex','zeta','zetak','zetakinit','zncoppersmith', |
12028 |
+ 'znlog','znorder','znprimroot','znstar' |
12029 |
), |
12030 |
|
12031 |
2 => array( |
12032 |
@@ -176,12 +182,16 @@ $language_data = array( |
12033 |
'lines','linewrap',/*'log',*/'logfile','new_galois_format','output', |
12034 |
'parisize','path','prettyprinter','primelimit','prompt_cont', |
12035 |
'prompt','psfile','readline','realprecision','recover','secure', |
12036 |
- 'seriesprecision',/*'simplify',*/'strictmatch','timer' |
12037 |
+ 'seriesprecision',/*'simplify',*/'sopath','strictmatch','timer' |
12038 |
), |
12039 |
|
12040 |
4 => array( |
12041 |
- 'alarmer','archer','errpile','gdiver','impl','syntaxer','invmoder', |
12042 |
- 'overflower','talker','typeer','user' |
12043 |
+ '"e_ARCH"','"e_BUG"','"e_FILE"','"e_IMPL"','"e_PACKAGE"','"e_DIM"', |
12044 |
+ '"e_FLAG"','"e_NOTFUNC"','"e_OP"','"e_TYPE"','"e_TYPE2"', |
12045 |
+ '"e_PRIORITY"','"e_VAR"','"e_DOMAIN"','"e_MAXPRIME"','"e_MEM"', |
12046 |
+ '"e_OVERFLOW"','"e_PREC"','"e_STACK"','"e_ALARM"','"e_USER"', |
12047 |
+ '"e_CONSTPOL"','"e_COPRIME"','"e_INV"','"e_IRREDPOL"','"e_MISC"', |
12048 |
+ '"e_MODULUS"','"e_NEGVAL"','"e_PRIME"','"e_ROOTS0"','"e_SQRTN"' |
12049 |
) |
12050 |
), |
12051 |
'SYMBOLS' => array( |
12052 |
@@ -229,7 +239,8 @@ $language_data = array( |
12053 |
), |
12054 |
'REGEXPS' => array( |
12055 |
0 => 'color: #e07022', # Should be the same as keyword group 2 |
12056 |
- 1 => 'color: #555555' |
12057 |
+ 1 => 'color: #555555', |
12058 |
+ 2 => 'color: #0000ff' # Should be the same as keyword group 1 |
12059 |
), |
12060 |
'SCRIPT' => array() |
12061 |
), |
12062 |
@@ -245,7 +256,7 @@ $language_data = array( |
12063 |
), |
12064 |
'REGEXPS' => array( |
12065 |
0 => array( # types marked on variables |
12066 |
- GESHI_SEARCH => '(?<!\\\\ )"(t_(?:INT|REAL|INTMOD|FRAC|FFELT|COMPLEX|PADIC|QUAD|POLMOD|POL|SER|RFRAC|QFR|QFI|VEC|COL|MAT|LIST|STR|VECSMALL|CLOSURE))"', |
12067 |
+ GESHI_SEARCH => '(?<!\\\\ )"(t_(?:INT|REAL|INTMOD|FRAC|FFELT|COMPLEX|PADIC|QUAD|POLMOD|POL|SER|RFRAC|QFR|QFI|VEC|COL|MAT|LIST|STR|VECSMALL|CLOSURE|ERROR))"', |
12068 |
GESHI_REPLACE => '\\1', |
12069 |
GESHI_MODIFIERS => '', |
12070 |
GESHI_BEFORE => '"', |
12071 |
@@ -257,6 +268,13 @@ $language_data = array( |
12072 |
GESHI_MODIFIERS => '', |
12073 |
GESHI_BEFORE => '', |
12074 |
GESHI_AFTER => '' |
12075 |
+ ), |
12076 |
+ 2 => array( # member functions |
12077 |
+ GESHI_SEARCH => '(?<=[.])(a[1-6]|b[2-8]|c[4-6]|area|bid|bnf|clgp|cyc|diff|disc|[efjp]|fu|gen|index|mod|nf|no|omega|pol|reg|roots|sign|r[12]|t2|tate|tu|zk|zkst)\b', |
12078 |
+ GESHI_REPLACE => '\\1', |
12079 |
+ GESHI_MODIFIERS => '', |
12080 |
+ GESHI_BEFORE => '', |
12081 |
+ GESHI_AFTER => '' |
12082 |
) |
12083 |
), |
12084 |
'STRICT_MODE_APPLIES' => GESHI_NEVER, |
12085 |
@@ -268,10 +286,8 @@ $language_data = array( |
12086 |
'default(' => '' |
12087 |
), |
12088 |
4 => array( |
12089 |
- 'trap(' => '' |
12090 |
+ 'iferrname(' => '' |
12091 |
), |
12092 |
), |
12093 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
12094 |
); |
12095 |
- |
12096 |
-?> |
12097 |
|
12098 |
diff --git a/plugins/wp-syntax/geshi/geshi/pascal.php b/plugins/wp-syntax/geshi/geshi/pascal.php |
12099 |
index de5ca87..5b9d986 100644 |
12100 |
--- a/plugins/wp-syntax/geshi/geshi/pascal.php |
12101 |
+++ b/plugins/wp-syntax/geshi/geshi/pascal.php |
12102 |
@@ -4,7 +4,7 @@ |
12103 |
* ---------- |
12104 |
* Author: Tux (tux@××××××.cz) |
12105 |
* Copyright: (c) 2004 Tux (http://tux.a4.cz/), Nigel McNie (http://qbnz.com/highlighter) |
12106 |
- * Release Version: 1.0.8.11 |
12107 |
+ * Release Version: 1.0.8.12 |
12108 |
* Date Started: 2004/07/26 |
12109 |
* |
12110 |
* Pascal language file for GeSHi. |
12111 |
@@ -161,5 +161,3 @@ $language_data = array ( |
12112 |
), |
12113 |
'TAB_WIDTH' => 4 |
12114 |
); |
12115 |
- |
12116 |
-?> |
12117 |
\ No newline at end of file |
12118 |
|
12119 |
diff --git a/plugins/wp-syntax/geshi/geshi/pcre.php b/plugins/wp-syntax/geshi/geshi/pcre.php |
12120 |
index 13a2e02..29bbf47 100644 |
12121 |
--- a/plugins/wp-syntax/geshi/geshi/pcre.php |
12122 |
+++ b/plugins/wp-syntax/geshi/geshi/pcre.php |
12123 |
@@ -4,7 +4,7 @@ |
12124 |
* -------- |
12125 |
* Author: Benny Baumann (BenBE@×××××.org) |
12126 |
* Copyright: (c) 2010 Benny Baumann (http://qbnz.com/highlighter/) |
12127 |
- * Release Version: 1.0.8.11 |
12128 |
+ * Release Version: 1.0.8.12 |
12129 |
* Date Started: 2010/05/22 |
12130 |
* |
12131 |
* PCRE language file for GeSHi. |
12132 |
@@ -184,5 +184,3 @@ $language_data = array ( |
12133 |
) |
12134 |
) |
12135 |
); |
12136 |
- |
12137 |
-?> |
12138 |
\ No newline at end of file |
12139 |
|
12140 |
diff --git a/plugins/wp-syntax/geshi/geshi/per.php b/plugins/wp-syntax/geshi/geshi/per.php |
12141 |
index c42ddb5..b9117a2 100644 |
12142 |
--- a/plugins/wp-syntax/geshi/geshi/per.php |
12143 |
+++ b/plugins/wp-syntax/geshi/geshi/per.php |
12144 |
@@ -4,7 +4,7 @@ |
12145 |
* -------- |
12146 |
* Author: Lars Gersmann (lars.gersmann@×××××.com) |
12147 |
* Copyright: (c) 2007 Lars Gersmann |
12148 |
- * Release Version: 1.0.8.11 |
12149 |
+ * Release Version: 1.0.8.12 |
12150 |
* Date Started: 2007/06/03 |
12151 |
* |
12152 |
* Per (forms) (FOURJ's Genero 4GL) language file for GeSHi. |
12153 |
@@ -298,5 +298,3 @@ $language_data = array ( |
12154 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
12155 |
) |
12156 |
); |
12157 |
- |
12158 |
-?> |
12159 |
|
12160 |
diff --git a/plugins/wp-syntax/geshi/geshi/perl.php b/plugins/wp-syntax/geshi/geshi/perl.php |
12161 |
index 309ebd8..15ab836 100644 |
12162 |
--- a/plugins/wp-syntax/geshi/geshi/perl.php |
12163 |
+++ b/plugins/wp-syntax/geshi/geshi/perl.php |
12164 |
@@ -4,7 +4,7 @@ |
12165 |
* -------- |
12166 |
* Author: Andreas Gohr (andi@××××××××××.org), Ben Keen (ben.keen@×××××.com) |
12167 |
* Copyright: (c) 2004 Andreas Gohr, Ben Keen (http://www.benjaminkeen.org/), Nigel McNie (http://qbnz.com/highlighter/) |
12168 |
- * Release Version: 1.0.8.11 |
12169 |
+ * Release Version: 1.0.8.12 |
12170 |
* Date Started: 2004/08/20 |
12171 |
* |
12172 |
* Perl language file for GeSHi. |
12173 |
@@ -209,5 +209,3 @@ $language_data = array ( |
12174 |
) |
12175 |
) |
12176 |
); |
12177 |
- |
12178 |
-?> |
12179 |
\ No newline at end of file |
12180 |
|
12181 |
diff --git a/plugins/wp-syntax/geshi/geshi/perl6.php b/plugins/wp-syntax/geshi/geshi/perl6.php |
12182 |
index 706eabc..3bd5f0a 100644 |
12183 |
--- a/plugins/wp-syntax/geshi/geshi/perl6.php |
12184 |
+++ b/plugins/wp-syntax/geshi/geshi/perl6.php |
12185 |
@@ -4,7 +4,7 @@ |
12186 |
* --------- |
12187 |
* Author: Kodi Arfer (kodiarfer {at} warpmail {period} net); forked from perl.php 1.0.8 by Andreas Gohr (andi@××××××××××.org), Ben Keen (ben.keen@×××××.com) |
12188 |
* Copyright: (c) 2009 Kodi Arfer, (c) 2004 Andreas Gohr, Ben Keen (http://www.benjaminkeen.org/), Nigel McNie (http://qbnz.com/highlighter/) |
12189 |
- * Release Version: 1.0.8.11 |
12190 |
+ * Release Version: 1.0.8.12 |
12191 |
* Date Started: 2009/11/07 |
12192 |
* |
12193 |
* Perl 6 language file for GeSHi. |
12194 |
@@ -193,5 +193,3 @@ $language_data = array ( |
12195 |
) |
12196 |
) |
12197 |
); |
12198 |
- |
12199 |
-?> |
12200 |
\ No newline at end of file |
12201 |
|
12202 |
diff --git a/plugins/wp-syntax/geshi/geshi/pf.php b/plugins/wp-syntax/geshi/geshi/pf.php |
12203 |
index 818e11b..37b30fe 100644 |
12204 |
--- a/plugins/wp-syntax/geshi/geshi/pf.php |
12205 |
+++ b/plugins/wp-syntax/geshi/geshi/pf.php |
12206 |
@@ -4,7 +4,7 @@ |
12207 |
* -------- |
12208 |
* Author: David Berard (david@×××××××.com) |
12209 |
* Copyright: (c) 2010 Benny Baumann (http://qbnz.com/highlighter/) |
12210 |
- * Release Version: 1.0.8.11 |
12211 |
+ * Release Version: 1.0.8.12 |
12212 |
* Date Started: 2009/10/16 |
12213 |
* Based on bash.php |
12214 |
* |
12215 |
@@ -174,5 +174,3 @@ $language_data = array ( |
12216 |
) |
12217 |
) |
12218 |
); |
12219 |
- |
12220 |
-?> |
12221 |
\ No newline at end of file |
12222 |
|
12223 |
diff --git a/plugins/wp-syntax/geshi/geshi/php-brief.php b/plugins/wp-syntax/geshi/geshi/php-brief.php |
12224 |
index a4804b4..36a960a 100644 |
12225 |
--- a/plugins/wp-syntax/geshi/geshi/php-brief.php |
12226 |
+++ b/plugins/wp-syntax/geshi/geshi/php-brief.php |
12227 |
@@ -4,7 +4,7 @@ |
12228 |
* ------------- |
12229 |
* Author: Nigel McNie (nigel@×××××.org) |
12230 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
12231 |
- * Release Version: 1.0.8.11 |
12232 |
+ * Release Version: 1.0.8.12 |
12233 |
* Date Started: 2004/06/02 |
12234 |
* |
12235 |
* PHP (brief version) language file for GeSHi. |
12236 |
@@ -218,5 +218,3 @@ $language_data = array ( |
12237 |
), |
12238 |
'TAB_WIDTH' => 4 |
12239 |
); |
12240 |
- |
12241 |
-?> |
12242 |
\ No newline at end of file |
12243 |
|
12244 |
diff --git a/plugins/wp-syntax/geshi/geshi/php.php b/plugins/wp-syntax/geshi/geshi/php.php |
12245 |
index 2827457..fa50ee8 100644 |
12246 |
--- a/plugins/wp-syntax/geshi/geshi/php.php |
12247 |
+++ b/plugins/wp-syntax/geshi/geshi/php.php |
12248 |
@@ -4,7 +4,7 @@ |
12249 |
* -------- |
12250 |
* Author: Nigel McNie (nigel@×××××.org) |
12251 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
12252 |
- * Release Version: 1.0.8.11 |
12253 |
+ * Release Version: 1.0.8.12 |
12254 |
* Date Started: 2004/06/20 |
12255 |
* |
12256 |
* PHP language file for GeSHi. |
12257 |
@@ -90,15 +90,15 @@ $language_data = array( |
12258 |
'as','break','case','continue','default','do','else','elseif', |
12259 |
'endfor','endforeach','endif','endswitch','endwhile','for', |
12260 |
'foreach','if','include','include_once','require','require_once', |
12261 |
- 'return','switch','throw','while', |
12262 |
+ 'return','switch','throw','while', 'yield', |
12263 |
|
12264 |
'echo','print' |
12265 |
), |
12266 |
2 => array( |
12267 |
'&new','</script>','<?php','<script language', |
12268 |
'abstract','class','const','declare','extends','function','global', |
12269 |
- 'interface','namespace','new','private','protected','public','self', |
12270 |
- 'use','var' |
12271 |
+ 'implements', 'interface','namespace','new','private','protected', |
12272 |
+ 'public','self','trait','use','var' |
12273 |
), |
12274 |
3 => array( |
12275 |
'abs','acos','acosh','addcslashes','addslashes','aggregate', |
12276 |
@@ -1113,5 +1113,3 @@ $language_data = array( |
12277 |
), |
12278 |
'TAB_WIDTH' => 4 |
12279 |
); |
12280 |
- |
12281 |
-?> |
12282 |
|
12283 |
diff --git a/plugins/wp-syntax/geshi/geshi/pic16.php b/plugins/wp-syntax/geshi/geshi/pic16.php |
12284 |
index 46d7ac9..9058a86 100644 |
12285 |
--- a/plugins/wp-syntax/geshi/geshi/pic16.php |
12286 |
+++ b/plugins/wp-syntax/geshi/geshi/pic16.php |
12287 |
@@ -4,7 +4,7 @@ |
12288 |
* ------- |
12289 |
* Author: Phil Mattison (mattison@××××××××.com) |
12290 |
* Copyright: (c) 2008 Ohmikron Corp. (http://www.ohmikron.com/) |
12291 |
- * Release Version: 1.0.8.11 |
12292 |
+ * Release Version: 1.0.8.12 |
12293 |
* Date Started: 2008/07/30 |
12294 |
* |
12295 |
* PIC16 Assembler language file for GeSHi. |
12296 |
@@ -137,5 +137,3 @@ $language_data = array ( |
12297 |
) |
12298 |
) |
12299 |
); |
12300 |
- |
12301 |
-?> |
12302 |
|
12303 |
diff --git a/plugins/wp-syntax/geshi/geshi/pike.php b/plugins/wp-syntax/geshi/geshi/pike.php |
12304 |
index 743f711..f5cc905 100644 |
12305 |
--- a/plugins/wp-syntax/geshi/geshi/pike.php |
12306 |
+++ b/plugins/wp-syntax/geshi/geshi/pike.php |
12307 |
@@ -4,7 +4,7 @@ |
12308 |
* -------- |
12309 |
* Author: Rick E. (codeblock@×××××××××.net) |
12310 |
* Copyright: (c) 2009 Rick E. |
12311 |
- * Release Version: 1.0.8.11 |
12312 |
+ * Release Version: 1.0.8.12 |
12313 |
* Date Started: 2009/12/10 |
12314 |
* |
12315 |
* Pike language file for GeSHi. |
12316 |
@@ -99,5 +99,3 @@ $language_data = array( |
12317 |
'SCRIPT_DELIMITERS' => array(), |
12318 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
12319 |
); |
12320 |
- |
12321 |
-?> |
12322 |
|
12323 |
diff --git a/plugins/wp-syntax/geshi/geshi/pixelbender.php b/plugins/wp-syntax/geshi/geshi/pixelbender.php |
12324 |
index 7b29ee6..45afc17 100644 |
12325 |
--- a/plugins/wp-syntax/geshi/geshi/pixelbender.php |
12326 |
+++ b/plugins/wp-syntax/geshi/geshi/pixelbender.php |
12327 |
@@ -4,7 +4,7 @@ |
12328 |
* ---------------- |
12329 |
* Author: Richard Olsson (r@×××××××××××××.se) |
12330 |
* Copyright: (c) 2008 Richard Olsson (richardolsson.se) |
12331 |
- * Release Version: 1.0.8.11 |
12332 |
+ * Release Version: 1.0.8.12 |
12333 |
* Date Started: 2008/11/16 |
12334 |
* |
12335 |
* Pixel Bender 1.0 language file for GeSHi. |
12336 |
@@ -171,6 +171,3 @@ $language_data = array( |
12337 |
'SCRIPT_DELIMITERS' => array(), |
12338 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
12339 |
); |
12340 |
- |
12341 |
- |
12342 |
-?> |
12343 |
|
12344 |
diff --git a/plugins/wp-syntax/geshi/geshi/pli.php b/plugins/wp-syntax/geshi/geshi/pli.php |
12345 |
index c299851..32a0cb7 100644 |
12346 |
--- a/plugins/wp-syntax/geshi/geshi/pli.php |
12347 |
+++ b/plugins/wp-syntax/geshi/geshi/pli.php |
12348 |
@@ -4,7 +4,7 @@ |
12349 |
* -------- |
12350 |
* Author: Robert AH Prins (robert@×××××.org) |
12351 |
* Copyright: (c) 2011 Robert AH Prins (http://hitchwiki.org/en/User:Prino) |
12352 |
- * Release Version: 1.0.8.11 |
12353 |
+ * Release Version: 1.0.8.12 |
12354 |
* Date Started: 2011/02/09 |
12355 |
* |
12356 |
* PL/I language file for GeSHi. |
12357 |
@@ -196,5 +196,3 @@ $language_data = array( |
12358 |
'SCRIPT_DELIMITERS' => array(), |
12359 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
12360 |
); |
12361 |
- |
12362 |
-?> |
12363 |
\ No newline at end of file |
12364 |
|
12365 |
diff --git a/plugins/wp-syntax/geshi/geshi/plsql.php b/plugins/wp-syntax/geshi/geshi/plsql.php |
12366 |
index 09f90a2..1a9edea 100644 |
12367 |
--- a/plugins/wp-syntax/geshi/geshi/plsql.php |
12368 |
+++ b/plugins/wp-syntax/geshi/geshi/plsql.php |
12369 |
@@ -4,7 +4,7 @@ |
12370 |
* ------- |
12371 |
* Author: Victor Engmark <victor.engmark@×××××.com> |
12372 |
* Copyright: (c) 2006 Victor Engmark (http://l0b0.net/) |
12373 |
- * Release Version: 1.0.8.11 |
12374 |
+ * Release Version: 1.0.8.12 |
12375 |
* Date Started: 2006/10/26 |
12376 |
* |
12377 |
* Oracle 9.2 PL/SQL language file for GeSHi. |
12378 |
@@ -252,5 +252,3 @@ $language_data = array ( |
12379 |
'SCRIPT_DELIMITERS' => array(), |
12380 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
12381 |
); |
12382 |
- |
12383 |
-?> |
12384 |
|
12385 |
diff --git a/plugins/wp-syntax/geshi/geshi/postgresql.php b/plugins/wp-syntax/geshi/geshi/postgresql.php |
12386 |
index 662fdd7..1f9d9e5 100644 |
12387 |
--- a/plugins/wp-syntax/geshi/geshi/postgresql.php |
12388 |
+++ b/plugins/wp-syntax/geshi/geshi/postgresql.php |
12389 |
@@ -5,7 +5,7 @@ |
12390 |
* Author: Christophe Chauvet (christophe_at_kryskool_dot_org) |
12391 |
* Contributors: Leif Biberg Kristensen <leif_at_solumslekt_dot_org> 2010-05-03 |
12392 |
* Copyright: (c) 2007 Christophe Chauvet (http://kryskool.org/), Nigel McNie (http://qbnz.com/highlighter) |
12393 |
- * Release Version: 1.0.8.11 |
12394 |
+ * Release Version: 1.0.8.12 |
12395 |
* Date Started: 2007/07/20 |
12396 |
* |
12397 |
* PostgreSQL language file for GeSHi. |
12398 |
@@ -282,7 +282,4 @@ $language_data = array ( |
12399 |
), |
12400 |
) |
12401 |
) |
12402 |
- |
12403 |
); |
12404 |
- |
12405 |
-?> |
12406 |
\ No newline at end of file |
12407 |
|
12408 |
diff --git a/plugins/wp-syntax/geshi/geshi/postscript.php b/plugins/wp-syntax/geshi/geshi/postscript.php |
12409 |
new file mode 100644 |
12410 |
index 0000000..cbd10f6 |
12411 |
--- /dev/null |
12412 |
+++ b/plugins/wp-syntax/geshi/geshi/postscript.php |
12413 |
@@ -0,0 +1,217 @@ |
12414 |
+<?php |
12415 |
+/************************************************************************************* |
12416 |
+ * c.php |
12417 |
+ * ----- |
12418 |
+ * Author: Benny Baumann (BenBE@×××××.org) |
12419 |
+ * Copyright: (c) 2014 Benny Baumann (http://qbnz.com/highlighter/) |
12420 |
+ * Release Version: 1.0.8.12 |
12421 |
+ * Date Started: 2014/08/10 |
12422 |
+ * |
12423 |
+ * PostScript language file for GeSHi. |
12424 |
+ * |
12425 |
+ * CHANGES |
12426 |
+ * ------- |
12427 |
+ * 2014/08/10 (1.0.8.12) |
12428 |
+ * - First Release |
12429 |
+ * |
12430 |
+ * TODO (updated 2014/08/10) |
12431 |
+ * ------------------------- |
12432 |
+ * - Get a list of inbuilt functions to add |
12433 |
+ * |
12434 |
+ ************************************************************************************* |
12435 |
+ * |
12436 |
+ * This file is part of GeSHi. |
12437 |
+ * |
12438 |
+ * GeSHi is free software; you can redistribute it and/or modify |
12439 |
+ * it under the terms of the GNU General Public License as published by |
12440 |
+ * the Free Software Foundation; either version 2 of the License, or |
12441 |
+ * (at your option) any later version. |
12442 |
+ * |
12443 |
+ * GeSHi is distributed in the hope that it will be useful, |
12444 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
12445 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
12446 |
+ * GNU General Public License for more details. |
12447 |
+ * |
12448 |
+ * You should have received a copy of the GNU General Public License |
12449 |
+ * along with GeSHi; if not, write to the Free Software |
12450 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
12451 |
+ * |
12452 |
+ ************************************************************************************/ |
12453 |
+ |
12454 |
+$language_data = array ( |
12455 |
+ 'LANG_NAME' => 'PostScript', |
12456 |
+ 'COMMENT_SINGLE' => array(0 => '%'), |
12457 |
+ 'COMMENT_MULTI' => array(), //array('/*' => '*/'), |
12458 |
+ 'COMMENT_REGEXP' => array( |
12459 |
+ // Strings |
12460 |
+ 1 => "/\((?:\\\\[0-7]{3}|\\\\.|(?R)|[^)])*\)/s", |
12461 |
+ // Hex Strings |
12462 |
+ 2 => "/<(?!<)[0-9a-f\s]*>/si", |
12463 |
+ // ASCII-85 Strings |
12464 |
+ 3 => "/<~.*~>/si", |
12465 |
+ ), |
12466 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
12467 |
+ 'QUOTEMARKS' => array("'", '"'), |
12468 |
+ 'ESCAPE_CHAR' => '', |
12469 |
+ 'ESCAPE_REGEXP' => array( |
12470 |
+ ), |
12471 |
+ 'NUMBERS' => array( |
12472 |
+ 0 => GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_FLT_NONSCI | GESHI_NUMBER_FLT_NONSCI_F | GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO, |
12473 |
+ 1 => "\d+#[0-9a-zA-Z]+" |
12474 |
+ ), |
12475 |
+ 'KEYWORDS' => array( |
12476 |
+ 1 => array( |
12477 |
+ 'countexecstack', 'def', 'dup', 'exch', 'exec', 'execstack', 'exit', |
12478 |
+ 'for', 'if', 'ifelse', 'loop', 'pop', 'repeat', |
12479 |
+ |
12480 |
+ 'abs', 'add', 'atan', 'ceiling', 'cos', 'div', 'exp', 'floor', |
12481 |
+ 'idiv', 'ln', 'log', 'mul', 'mod', 'neg', 'rand', 'round', 'rrand', |
12482 |
+ 'sin', 'sqrt', 'srand', 'sub', 'truncate', |
12483 |
+ |
12484 |
+ 'and', 'bitshift', 'eq', 'ge', 'gt', 'le', 'lt', 'ne', 'not', 'or', 'xor', |
12485 |
+ ), |
12486 |
+ 2 => array( |
12487 |
+ 'false', 'null', 'true', 'version' |
12488 |
+ ), |
12489 |
+ 3 => array( |
12490 |
+ 'quit', 'start', 'stop', 'stopped', |
12491 |
+ |
12492 |
+ 'clear', 'cleartomark', 'copy', 'count', 'counttomark', 'index', 'roll', |
12493 |
+ |
12494 |
+ 'aload', 'astore', 'begin', 'countdictstack', 'currentdict', |
12495 |
+ 'dictstack', 'end', 'errordict', 'forall', 'get', |
12496 |
+ 'getinterval', 'known', 'length', 'load', 'maxlength', 'put', |
12497 |
+ 'putinterval', 'store', 'systemdict', 'userdict', 'where', |
12498 |
+ |
12499 |
+ 'anchorsearch', 'search', 'token', |
12500 |
+ |
12501 |
+ 'cvi', 'cvlit', 'cvn', 'cvr', 'cvrs', 'cvs', 'cvx', 'executeonly', |
12502 |
+ 'noaccess', 'rcheck', 'readonly', 'type', 'wcheck', 'xcheck', |
12503 |
+ |
12504 |
+ 'bytesavailable', 'closefile', 'currentfile', 'echo', 'file', |
12505 |
+ 'flush', 'flushfile', 'print', 'prompt', 'pstack', 'read', |
12506 |
+ 'readhexstring', 'readline', 'readstring', 'resetfile', 'restore', |
12507 |
+ 'run', 'save', 'stack', 'status', 'vmstatus', 'write', |
12508 |
+ 'writehexstring', 'writestring', |
12509 |
+ |
12510 |
+ 'bind', 'usertime', |
12511 |
+ |
12512 |
+ 'currentdash', 'currentflat', 'currentgray', 'currenthsbcolor', |
12513 |
+ 'currentlinecap', 'currentlinejoin', 'currentlinewidth', |
12514 |
+ 'currentmiterlimit', 'currentrgbcolor', 'currentscreen', |
12515 |
+ 'currenttransfer', 'grestore', 'grestoreall', 'gsave', |
12516 |
+ 'initgraphics', 'proc', 'setdash', 'setflat', 'setgray', |
12517 |
+ 'sethsbcolor', 'setlinecap', 'setlinejoin', 'setlinewidth', |
12518 |
+ 'setmiterlimit', 'setrgbcolor', 'setscreen', 'settransfer', |
12519 |
+ |
12520 |
+ 'concat', 'concatmatrix', 'currentmatrix', 'defaultmatrix', |
12521 |
+ 'dtransform', 'identmatrix', 'idtransform', 'initmatrix', |
12522 |
+ 'invertmatrix', 'itransform', 'rotate', 'scale', 'setmatrix', |
12523 |
+ 'transform', 'translate', |
12524 |
+ |
12525 |
+ 'arc', 'arcn', 'arcto', 'charpath', 'clip', 'clippath', 'closepath', |
12526 |
+ 'currentpoint', 'curveto', 'eoclip', 'eofill', 'erasepage', 'fill', |
12527 |
+ 'flattenpath', 'image', 'imagemask', 'initclip', 'lineto', 'moveto', |
12528 |
+ 'newpath', 'pathbbox', 'pathforall', 'rcurveto', 'reversepath', |
12529 |
+ 'rlineto', 'rmoveto', 'stroke', 'strokepath', |
12530 |
+ |
12531 |
+ 'banddevice', 'copypage', 'framedevice', 'nulldevice', 'renderbands', |
12532 |
+ 'showpage', |
12533 |
+ |
12534 |
+ 'ashow', 'awidthshow', 'currentfont', 'definefont', 'findfont', |
12535 |
+ 'fontdict', 'kshow', 'makefont', 'scalefont', 'setfont', 'show', |
12536 |
+ 'stringwidth', 'widthshow', 'FontDirectory', 'StandardEncoding', |
12537 |
+ |
12538 |
+ 'cachestatus', 'setcachedevice', 'setcachelimit', 'setcharwidth', |
12539 |
+ |
12540 |
+ 'dictfull', 'dictstackoverflow', 'dictstackunderflow', |
12541 |
+ 'execstackoverflow', 'handleerror', 'interrupt', 'invalidaccess', |
12542 |
+ 'invalidexit', 'invalidfileaccess', 'invalidfont', 'invalidrestore', |
12543 |
+ 'ioerror', 'limitcheck', 'nocurrentpoint', 'rangecheck', |
12544 |
+ 'stackoverflow', 'stackunderflow', 'syntaxerror', 'timeout', |
12545 |
+ 'typecheck', 'undefined', 'undefinedfilename', 'undefinedresult', |
12546 |
+ 'unmatchedmark', 'unregistered', 'VMerror' |
12547 |
+ ), |
12548 |
+ 4 => array( |
12549 |
+ 'array', 'dict', 'mark', 'matrix', 'string' |
12550 |
+ ), |
12551 |
+ ), |
12552 |
+ 'SYMBOLS' => array( |
12553 |
+ 0 => array('==', '=', '/', '//'), |
12554 |
+ 1 => array('[', ']'), |
12555 |
+ 2 => array('{', '}'), |
12556 |
+ 3 => array('<<', '>>') |
12557 |
+ ), |
12558 |
+ 'CASE_SENSITIVE' => array( |
12559 |
+ GESHI_COMMENTS => false, |
12560 |
+ 1 => true, |
12561 |
+ 2 => true, |
12562 |
+ 3 => true, |
12563 |
+ 4 => true, |
12564 |
+ ), |
12565 |
+ 'STYLES' => array( |
12566 |
+ 'KEYWORDS' => array( |
12567 |
+ 1 => 'color: #000066; font-weight: bold;', |
12568 |
+ 2 => 'color: #0000ff; font-weight: bold;', |
12569 |
+ 3 => 'color: #000000; font-weight: bold;', |
12570 |
+ 4 => 'color: #993333; font-weight: bold;' |
12571 |
+ ), |
12572 |
+ 'COMMENTS' => array( |
12573 |
+ 0 => 'color: #333333; font-style: italic;', |
12574 |
+ 1 => 'color: #339933;', |
12575 |
+ 2 => 'color: #006600;', |
12576 |
+ 3 => 'color: #666666;', |
12577 |
+ 'MULTI' => 'color: #808080; font-style: italic;' |
12578 |
+ ), |
12579 |
+ 'ESCAPE_CHAR' => array( |
12580 |
+ 'HARD' => '' |
12581 |
+ ), |
12582 |
+ 'BRACKETS' => array( |
12583 |
+ 0 => 'color: #009900;' |
12584 |
+ ), |
12585 |
+ 'STRINGS' => array( |
12586 |
+ 0 => 'color: #ff0000;' |
12587 |
+ ), |
12588 |
+ 'NUMBERS' => array( |
12589 |
+ 0 => 'color: #0000dd;', |
12590 |
+ GESHI_NUMBER_BIN_PREFIX_0B => 'color: #208080;', |
12591 |
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;', |
12592 |
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;', |
12593 |
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;', |
12594 |
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;', |
12595 |
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;', |
12596 |
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;' |
12597 |
+ ), |
12598 |
+ 'METHODS' => array( |
12599 |
+ ), |
12600 |
+ 'SYMBOLS' => array( |
12601 |
+ 0 => 'color: #339933;', |
12602 |
+ 1 => 'color: #009900;', |
12603 |
+ 2 => 'color: #009900;', |
12604 |
+ 3 => 'color: #009900;' |
12605 |
+ ), |
12606 |
+ 'REGEXPS' => array( |
12607 |
+ 1 => 'color: #006600;' |
12608 |
+ ), |
12609 |
+ 'SCRIPT' => array( |
12610 |
+ ) |
12611 |
+ ), |
12612 |
+ 'URLS' => array( |
12613 |
+ 1 => '', |
12614 |
+ 2 => '', |
12615 |
+ 3 => '', |
12616 |
+ 4 => '' |
12617 |
+ ), |
12618 |
+ 'OOLANG' => false, |
12619 |
+ 'OBJECT_SPLITTERS' => array( |
12620 |
+ ), |
12621 |
+ 'REGEXPS' => array( |
12622 |
+ 1 => "#(?<=\\x2F)[\\w-]+#" |
12623 |
+ ), |
12624 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
12625 |
+ 'SCRIPT_DELIMITERS' => array( |
12626 |
+ ), |
12627 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
12628 |
+ ), |
12629 |
+ 'TAB_WIDTH' => 4 |
12630 |
+); |
12631 |
|
12632 |
diff --git a/plugins/wp-syntax/geshi/geshi/povray.php b/plugins/wp-syntax/geshi/geshi/povray.php |
12633 |
index c0ce35c..19d6c38 100644 |
12634 |
--- a/plugins/wp-syntax/geshi/geshi/povray.php |
12635 |
+++ b/plugins/wp-syntax/geshi/geshi/povray.php |
12636 |
@@ -4,7 +4,7 @@ |
12637 |
* -------- |
12638 |
* Author: Carl Fürstenberg (azatoth@×××××.com) |
12639 |
* Copyright: © 2007 Carl Fürstenberg |
12640 |
- * Release Version: 1.0.8.11 |
12641 |
+ * Release Version: 1.0.8.12 |
12642 |
* Date Started: 2008/07/11 |
12643 |
* |
12644 |
* Povray language file for GeSHi. |
12645 |
@@ -196,4 +196,3 @@ $language_data = array ( |
12646 |
), |
12647 |
'TAB_WIDTH' => 4 |
12648 |
); |
12649 |
-?> |
12650 |
|
12651 |
diff --git a/plugins/wp-syntax/geshi/geshi/powerbuilder.php b/plugins/wp-syntax/geshi/geshi/powerbuilder.php |
12652 |
index d3fcf61..e2530b6 100644 |
12653 |
--- a/plugins/wp-syntax/geshi/geshi/powerbuilder.php |
12654 |
+++ b/plugins/wp-syntax/geshi/geshi/powerbuilder.php |
12655 |
@@ -4,7 +4,7 @@ |
12656 |
* ------ |
12657 |
* Author: Doug Porter (powerbuilder.geshi@×××××.com) |
12658 |
* Copyright: (c) 2009 Doug Porter |
12659 |
- * Release Version: 1.0.8.11 |
12660 |
+ * Release Version: 1.0.8.12 |
12661 |
* Date Started: 2009/07/13 |
12662 |
* |
12663 |
* PowerBuilder (PowerScript) language file for GeSHi. |
12664 |
@@ -129,7 +129,8 @@ $language_data = array ( |
12665 |
'dragleave!', 'dragobject!', 'dragwithin!', 'drawobject!', |
12666 |
'dropdownlistbox!', 'dropdownpicturelistbox!', 'drophighlighttreeitem!', 'dwobject!', |
12667 |
'dynamicdescriptionarea!', 'dynamicstagingarea!', 'easteuropecharset!', 'editchanged!', |
12668 |
- 'editmask!', 'editmenu!', 'end!', 'endlabeledit!', |
12669 |
+ 'editmask!', 'editmenu!', 'encodingansi!', 'encodingutf8!', |
12670 |
+ 'encodingutf16le!', 'encodingutf16be!', 'end!', 'endlabeledit!', |
12671 |
'enterprise!', 'enterpriseonlyfeature!', 'enumeratedtype!', 'enumerationdefinition!', |
12672 |
'enumerationitemdefinition!', 'environment!', 'error!', 'errorlogging!', |
12673 |
'eventnotexisterror!', 'eventwrongprototypeerror!', 'excel!', 'excel5!', |
12674 |
@@ -414,5 +415,3 @@ $language_data = array ( |
12675 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
12676 |
) |
12677 |
); |
12678 |
- |
12679 |
-?> |
12680 |
\ No newline at end of file |
12681 |
|
12682 |
diff --git a/plugins/wp-syntax/geshi/geshi/powershell.php b/plugins/wp-syntax/geshi/geshi/powershell.php |
12683 |
index bd78d73..2900abd 100644 |
12684 |
--- a/plugins/wp-syntax/geshi/geshi/powershell.php |
12685 |
+++ b/plugins/wp-syntax/geshi/geshi/powershell.php |
12686 |
@@ -4,7 +4,7 @@ |
12687 |
* --------------------------------- |
12688 |
* Author: Frode Aarebrot (frode@××××××××.net) |
12689 |
* Copyright: (c) 2008 Frode Aarebrot (http://www.aarebrot.net) |
12690 |
- * Release Version: 1.0.8.11 |
12691 |
+ * Release Version: 1.0.8.12 |
12692 |
* Date Started: 2008/06/20 |
12693 |
* |
12694 |
* PowerShell language file for GeSHi. |
12695 |
@@ -273,5 +273,3 @@ $language_data = array ( |
12696 |
) |
12697 |
) |
12698 |
); |
12699 |
- |
12700 |
-?> |
12701 |
\ No newline at end of file |
12702 |
|
12703 |
diff --git a/plugins/wp-syntax/geshi/geshi/proftpd.php b/plugins/wp-syntax/geshi/geshi/proftpd.php |
12704 |
index 330db4b..0668eec 100644 |
12705 |
--- a/plugins/wp-syntax/geshi/geshi/proftpd.php |
12706 |
+++ b/plugins/wp-syntax/geshi/geshi/proftpd.php |
12707 |
@@ -4,7 +4,7 @@ |
12708 |
* ---------- |
12709 |
* Author: Benny Baumann (BenBE@×××××.org) |
12710 |
* Copyright: (c) 2010 Benny Baumann (http://qbnz.com/highlighter) |
12711 |
- * Release Version: 1.0.8.11 |
12712 |
+ * Release Version: 1.0.8.12 |
12713 |
* Date Started: 2011/01/25 |
12714 |
* |
12715 |
* ProFTPd language file for GeSHi. |
12716 |
@@ -353,22 +353,20 @@ $language_data = array ( |
12717 |
'ENABLE_FLAGS' => array( |
12718 |
'BRACKETS' => GESHI_NEVER, |
12719 |
'SYMBOLS' => GESHI_NEVER |
12720 |
- ), |
12721 |
+ ), |
12722 |
'KEYWORDS' => array( |
12723 |
2 => array( |
12724 |
'DISALLOWED_BEFORE' => '(?<=\s)(?<!=)', |
12725 |
'DISALLOWED_AFTER' => '(?!\+)(?!\w)', |
12726 |
- ), |
12727 |
+ ), |
12728 |
3 => array( |
12729 |
'DISALLOWED_BEFORE' => '(?<=<|<\/)', |
12730 |
'DISALLOWED_AFTER' => '(?=\s|\/|>)', |
12731 |
- ), |
12732 |
+ ), |
12733 |
4 => array( |
12734 |
'DISALLOWED_BEFORE' => '(?<=\s)(?<!=)', |
12735 |
'DISALLOWED_AFTER' => '(?!\+)(?=\/|(?:\s+\w+)*\s*>)', |
12736 |
+ ) |
12737 |
) |
12738 |
) |
12739 |
- ) |
12740 |
); |
12741 |
- |
12742 |
-?> |
12743 |
\ No newline at end of file |
12744 |
|
12745 |
diff --git a/plugins/wp-syntax/geshi/geshi/progress.php b/plugins/wp-syntax/geshi/geshi/progress.php |
12746 |
index 7990026..dc99dbf 100644 |
12747 |
--- a/plugins/wp-syntax/geshi/geshi/progress.php |
12748 |
+++ b/plugins/wp-syntax/geshi/geshi/progress.php |
12749 |
@@ -4,7 +4,7 @@ |
12750 |
* -------- |
12751 |
* Author: Marco Aurelio de Pasqual (marcop@×××××××.br) |
12752 |
* Copyright: (c) 2008 Marco Aurelio de Pasqual, Benny Baumann (http://qbnz.com/highlighter) |
12753 |
- * Release Version: 1.0.8.11 |
12754 |
+ * Release Version: 1.0.8.12 |
12755 |
* Date Started: 2008/07/11 |
12756 |
* |
12757 |
* Progress language file for GeSHi. |
12758 |
@@ -481,5 +481,3 @@ $language_data = array( |
12759 |
) |
12760 |
) |
12761 |
); |
12762 |
- |
12763 |
-?> |
12764 |
\ No newline at end of file |
12765 |
|
12766 |
diff --git a/plugins/wp-syntax/geshi/geshi/prolog.php b/plugins/wp-syntax/geshi/geshi/prolog.php |
12767 |
index a106a4e..e8b5df0 100644 |
12768 |
--- a/plugins/wp-syntax/geshi/geshi/prolog.php |
12769 |
+++ b/plugins/wp-syntax/geshi/geshi/prolog.php |
12770 |
@@ -4,7 +4,7 @@ |
12771 |
* -------- |
12772 |
* Author: Benny Baumann (BenBE@×××××.org) |
12773 |
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/) |
12774 |
- * Release Version: 1.0.8.11 |
12775 |
+ * Release Version: 1.0.8.12 |
12776 |
* Date Started: 2008/10/02 |
12777 |
* |
12778 |
* Prolog language file for GeSHi. |
12779 |
@@ -139,5 +139,3 @@ $language_data = array ( |
12780 |
), |
12781 |
'TAB_WIDTH' => 4 |
12782 |
); |
12783 |
- |
12784 |
-?> |
12785 |
\ No newline at end of file |
12786 |
|
12787 |
diff --git a/plugins/wp-syntax/geshi/geshi/properties.php b/plugins/wp-syntax/geshi/geshi/properties.php |
12788 |
index e1317b2..b716018 100644 |
12789 |
--- a/plugins/wp-syntax/geshi/geshi/properties.php |
12790 |
+++ b/plugins/wp-syntax/geshi/geshi/properties.php |
12791 |
@@ -4,7 +4,7 @@ |
12792 |
* -------- |
12793 |
* Author: Edy Hinzen |
12794 |
* Copyright: (c) 2009 Edy Hinzen |
12795 |
- * Release Version: 1.0.8.11 |
12796 |
+ * Release Version: 1.0.8.12 |
12797 |
* Date Started: 2009/04/03 |
12798 |
* |
12799 |
* Property language file for GeSHi. |
12800 |
@@ -123,5 +123,3 @@ $language_data = array ( |
12801 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
12802 |
) |
12803 |
); |
12804 |
- |
12805 |
-?> |
12806 |
\ No newline at end of file |
12807 |
|
12808 |
diff --git a/plugins/wp-syntax/geshi/geshi/providex.php b/plugins/wp-syntax/geshi/geshi/providex.php |
12809 |
index 1e735bd..e2ab4a5 100644 |
12810 |
--- a/plugins/wp-syntax/geshi/geshi/providex.php |
12811 |
+++ b/plugins/wp-syntax/geshi/geshi/providex.php |
12812 |
@@ -4,7 +4,7 @@ |
12813 |
* ---------- |
12814 |
* Author: Jeff Wilder (jeff@××××××××××××.com) |
12815 |
* Copyright: (c) 2008 Coastal Logix (http://www.coastallogix.com) |
12816 |
- * Release Version: 1.0.8.11 |
12817 |
+ * Release Version: 1.0.8.12 |
12818 |
* Date Started: 2008/10/18 |
12819 |
* |
12820 |
* ProvideX language file for GeSHi. |
12821 |
@@ -295,5 +295,3 @@ $language_data = array ( |
12822 |
), |
12823 |
'TAB_WIDTH' => 4 |
12824 |
); |
12825 |
- |
12826 |
-?> |
12827 |
|
12828 |
diff --git a/plugins/wp-syntax/geshi/geshi/purebasic.php b/plugins/wp-syntax/geshi/geshi/purebasic.php |
12829 |
index d78ffe9..f685dd0 100644 |
12830 |
--- a/plugins/wp-syntax/geshi/geshi/purebasic.php |
12831 |
+++ b/plugins/wp-syntax/geshi/geshi/purebasic.php |
12832 |
@@ -4,7 +4,7 @@ |
12833 |
* ------- |
12834 |
* Author: GuShH |
12835 |
* Copyright: (c) 2009 Gustavo Julio Fiorenza |
12836 |
- * Release Version: 1.0.8.11 |
12837 |
+ * Release Version: 1.0.8.12 |
12838 |
* Date Started: 13/06/2009 |
12839 |
* |
12840 |
* PureBasic language file for GeSHi. |
12841 |
@@ -299,5 +299,3 @@ $language_data = array ( |
12842 |
1 => false |
12843 |
) |
12844 |
); |
12845 |
- |
12846 |
-?> |
12847 |
\ No newline at end of file |
12848 |
|
12849 |
diff --git a/plugins/wp-syntax/geshi/geshi/pycon.php b/plugins/wp-syntax/geshi/geshi/pycon.php |
12850 |
index ac2b34d..f6fd44b 100644 |
12851 |
--- a/plugins/wp-syntax/geshi/geshi/pycon.php |
12852 |
+++ b/plugins/wp-syntax/geshi/geshi/pycon.php |
12853 |
@@ -4,7 +4,7 @@ |
12854 |
* ---------- |
12855 |
* Author: Roberto Rossi (rsoftware@××××××××××.org) |
12856 |
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter) |
12857 |
- * Release Version: 1.0.8.11 |
12858 |
+ * Release Version: 1.0.8.12 |
12859 |
* Date Started: 2004/08/30 |
12860 |
* |
12861 |
* Python language file for GeSHi. |
12862 |
@@ -60,5 +60,3 @@ if(!isset($language_data['COMMENT_REGEXP'])) { |
12863 |
|
12864 |
$language_data['COMMENT_REGEXP'][-1] = '/(?:^|\A\s)(?:>>>|\.\.\.)/m'; |
12865 |
$language_data['STYLES']['COMMENTS'][-1] = 'color: #444444;'; |
12866 |
- |
12867 |
-?> |
12868 |
\ No newline at end of file |
12869 |
|
12870 |
diff --git a/plugins/wp-syntax/geshi/geshi/pys60.php b/plugins/wp-syntax/geshi/geshi/pys60.php |
12871 |
index 59c67fa..9b83c74 100644 |
12872 |
--- a/plugins/wp-syntax/geshi/geshi/pys60.php |
12873 |
+++ b/plugins/wp-syntax/geshi/geshi/pys60.php |
12874 |
@@ -4,7 +4,7 @@ |
12875 |
* ---------- |
12876 |
* Author: Sohan Basak (ronnie.basak96 @gmail.com) |
12877 |
* Copyright: (c) 2012 Sohan Basak (http://tothepower.tk), Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter) |
12878 |
- * Release Version: 1.0.8.11 |
12879 |
+ * Release Version: 1.0.8.12 |
12880 |
* Date Started: 2012/05/03 |
12881 |
* |
12882 |
* Python for S60 language file for GeSHi. |
12883 |
@@ -269,5 +269,3 @@ $language_data = array ( |
12884 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
12885 |
) |
12886 |
); |
12887 |
- |
12888 |
-?> |
12889 |
|
12890 |
diff --git a/plugins/wp-syntax/geshi/geshi/python.php b/plugins/wp-syntax/geshi/geshi/python.php |
12891 |
index ec9b17e..2c52484 100644 |
12892 |
--- a/plugins/wp-syntax/geshi/geshi/python.php |
12893 |
+++ b/plugins/wp-syntax/geshi/geshi/python.php |
12894 |
@@ -4,7 +4,7 @@ |
12895 |
* ---------- |
12896 |
* Author: Roberto Rossi (rsoftware@××××××××××.org) |
12897 |
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), Nigel McNie (http://qbnz.com/highlighter) |
12898 |
- * Release Version: 1.0.8.11 |
12899 |
+ * Release Version: 1.0.8.12 |
12900 |
* Date Started: 2004/08/30 |
12901 |
* |
12902 |
* Python language file for GeSHi. |
12903 |
@@ -240,5 +240,3 @@ $language_data = array ( |
12904 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
12905 |
) |
12906 |
); |
12907 |
- |
12908 |
-?> |
12909 |
\ No newline at end of file |
12910 |
|
12911 |
diff --git a/plugins/wp-syntax/geshi/geshi/q.php b/plugins/wp-syntax/geshi/geshi/q.php |
12912 |
index ade9928..5840b58 100644 |
12913 |
--- a/plugins/wp-syntax/geshi/geshi/q.php |
12914 |
+++ b/plugins/wp-syntax/geshi/geshi/q.php |
12915 |
@@ -4,7 +4,7 @@ |
12916 |
* ----- |
12917 |
* Author: Ian Roddis (ian.roddis@×××××××××××.net) |
12918 |
* Copyright: (c) 2008 Ian Roddis (http://proteanmind.net) |
12919 |
- * Release Version: 1.0.8.11 |
12920 |
+ * Release Version: 1.0.8.12 |
12921 |
* Date Started: 2009/01/21 |
12922 |
* |
12923 |
* q/kdb+ language file for GeSHi. |
12924 |
@@ -145,5 +145,3 @@ $language_data = array ( |
12925 |
2 => '', |
12926 |
), |
12927 |
); |
12928 |
- |
12929 |
-?> |
12930 |
\ No newline at end of file |
12931 |
|
12932 |
diff --git a/plugins/wp-syntax/geshi/geshi/qbasic.php b/plugins/wp-syntax/geshi/geshi/qbasic.php |
12933 |
index 3345e3c..f4f898e 100644 |
12934 |
--- a/plugins/wp-syntax/geshi/geshi/qbasic.php |
12935 |
+++ b/plugins/wp-syntax/geshi/geshi/qbasic.php |
12936 |
@@ -4,7 +4,7 @@ |
12937 |
* ---------- |
12938 |
* Author: Nigel McNie (nigel@×××××.org) |
12939 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
12940 |
- * Release Version: 1.0.8.11 |
12941 |
+ * Release Version: 1.0.8.12 |
12942 |
* Date Started: 2004/06/20 |
12943 |
* |
12944 |
* QBasic/QuickBASIC language file for GeSHi. |
12945 |
@@ -158,5 +158,3 @@ $language_data = array ( |
12946 |
), |
12947 |
'TAB_WIDTH' => 8 |
12948 |
); |
12949 |
- |
12950 |
-?> |
12951 |
\ No newline at end of file |
12952 |
|
12953 |
diff --git a/plugins/wp-syntax/geshi/geshi/qml.php b/plugins/wp-syntax/geshi/geshi/qml.php |
12954 |
new file mode 100644 |
12955 |
index 0000000..6835721 |
12956 |
--- /dev/null |
12957 |
+++ b/plugins/wp-syntax/geshi/geshi/qml.php |
12958 |
@@ -0,0 +1,351 @@ |
12959 |
+<?php |
12960 |
+/************************************************************************************* |
12961 |
+ * qml.php |
12962 |
+ * -------------- |
12963 |
+ * Author: J-P Nurmi <jpnurmi@×××××.com> |
12964 |
+ * Copyright: (c) 2012-2014 J-P Nurmi <jpnurmi@×××××.com> |
12965 |
+ * Release Version: 1.0.8.12 |
12966 |
+ * Date Started: 2012/08/19 |
12967 |
+ * |
12968 |
+ * QML language file for GeSHi. |
12969 |
+ * |
12970 |
+ * CHANGES |
12971 |
+ * ------- |
12972 |
+ * 2014/06/29 (1.0.8.12) |
12973 |
+ * - Synced QML types from Qt 5.3: |
12974 |
+ * http://qt-project.org/doc/qt-5/modules-qml.html |
12975 |
+ * 2012/08/19 |
12976 |
+ * - First version based on Qt 4 |
12977 |
+ * |
12978 |
+ * TODO (updated 2014/06/29) |
12979 |
+ * ------------------------- |
12980 |
+ * |
12981 |
+ ************************************************************************************* |
12982 |
+ * |
12983 |
+ * This file is part of GeSHi. |
12984 |
+ * |
12985 |
+ * GeSHi is free software; you can redistribute it and/or modify |
12986 |
+ * it under the terms of the GNU General Public License as published by |
12987 |
+ * the Free Software Foundation; either version 2 of the License, or |
12988 |
+ * (at your option) any later version. |
12989 |
+ * |
12990 |
+ * GeSHi is distributed in the hope that it will be useful, |
12991 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
12992 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
12993 |
+ * GNU General Public License for more details. |
12994 |
+ * |
12995 |
+ * You should have received a copy of the GNU General Public License |
12996 |
+ * along with GeSHi; if not, write to the Free Software |
12997 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
12998 |
+ * |
12999 |
+ ************************************************************************************/ |
13000 |
+ |
13001 |
+$language_data = array ( |
13002 |
+ 'LANG_NAME' => 'QML', |
13003 |
+ 'COMMENT_SINGLE' => array(1 => '//'), |
13004 |
+ 'COMMENT_MULTI' => array('/*' => '*/'), |
13005 |
+ 'COMMENT_REGEXP' => array( |
13006 |
+ // comments |
13007 |
+ 2 => "/(?<=[\\s^])(s|tr|y)\\/(?!\*)(?!\s)(?:\\\\.|(?!\n)[^\\/\\\\])+(?<!\s)\\/(?!\s)(?:\\\\.|(?!\n)[^\\/\\\\])*(?<!\s)\\/[msixpogcde]*(?=[\\s$\\.\\;])|(?<=[\\s^(=])(m|q[qrwx]?)?\\/(?!\*)(?!\s)(?:\\\\.|(?!\n)[^\\/\\\\])+(?<!\s)\\/[msixpogc]*(?=[\\s$\\.\\,\\;\\)])/iU", |
13008 |
+ // property binding |
13009 |
+ 3 => "/([a-z][\\w\\.]*)(?=:)/", |
13010 |
+ // TODO: property name (fixed length lookbehind assertion?) |
13011 |
+ 4 => "/(?<=property\\s+\\w+\\s+)(\\w+)/" |
13012 |
+ ), |
13013 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
13014 |
+ 'QUOTEMARKS' => array("'", '"'), |
13015 |
+ 'ESCAPE_CHAR' => '\\', |
13016 |
+ 'KEYWORDS' => array( |
13017 |
+ 1 => array( |
13018 |
+ 'as','alias','break','case','catch','continue','const','debugger', |
13019 |
+ 'default','delete','do','else','finally','for','function', |
13020 |
+ 'if','import','in','instanceof','new','on','public','property', |
13021 |
+ 'readonly','return','signal','switch','this','throw','try', |
13022 |
+ 'typeof','while','with' |
13023 |
+ ), |
13024 |
+ 2 => array( |
13025 |
+ 'action','bool','color','date','double','enumeration','font', |
13026 |
+ 'int','list','matrix4x4','point','quaternion','real','rect', |
13027 |
+ 'size','string','time','url','var','variant','vector2d', |
13028 |
+ 'vector3d','vector4d','void' |
13029 |
+ ), |
13030 |
+ // http://qt-project.org/doc/qt-5/qtbluetooth-qmlmodule.html |
13031 |
+ 3 => array( |
13032 |
+ 'BluetoothDiscoveryModel','BluetoothService','BluetoothSocket' |
13033 |
+ ), |
13034 |
+ // http://qt-project.org/doc/qt-5/qtgraphicaleffects-qmlmodule.html |
13035 |
+ 4 => array( |
13036 |
+ 'Blend','BrightnessContrast','ColorOverlay','Colorize', |
13037 |
+ 'ConicalGradient','Desaturate','DirectionalBlur','Displace', |
13038 |
+ 'DropShadow','FastBlur','GammaAdjust','GaussianBlur','Glow', |
13039 |
+ 'HueSaturation','InnerShadow','LevelAdjust','LinearGradient', |
13040 |
+ 'MaskedBlur','OpacityMask','RadialBlur','RadialGradient', |
13041 |
+ 'RectangularGlow','RecursiveBlur','ThresholdMask','ZoomBlur' |
13042 |
+ ), |
13043 |
+ // http://qt-project.org/doc/qt-5/qtaudioengine-qmlmodule.html |
13044 |
+ 5 => array( |
13045 |
+ 'AttenuationModelLinear','AttenuationModelInverse','AudioCategory', |
13046 |
+ 'AudioEngine','AudioListener','AudioSample','PlayVariation', |
13047 |
+ 'Sound','SoundInstance' |
13048 |
+ ), |
13049 |
+ // http://qt-project.org/doc/qt-5/qtmultimedia-qmlmodule.html |
13050 |
+ 6 => array( |
13051 |
+ 'Video','Audio','MediaPlayer','Camera','CameraCapture', |
13052 |
+ 'CameraExposure','CameraFlash','CameraFocus','CameraImageProcessing', |
13053 |
+ 'CameraRecorder','Radio','RadioData','Torch','SoundEffect','VideoOutput' |
13054 |
+ ), |
13055 |
+ // http://qt-project.org/doc/qt-5/qtnfc-qmlmodule.html |
13056 |
+ 7 => array( |
13057 |
+ 'NdefFilter','NdefMimeRecord','NdefTextRecord','NdefUriRecord', |
13058 |
+ 'NearField','NdefRecord' |
13059 |
+ ), |
13060 |
+ // http://qt-project.org/doc/qt-5/qtpositioning-qmlmodule.html |
13061 |
+ 8 => array( |
13062 |
+ 'QtPositioning','CoordinateAnimation','Position','PositionSource', |
13063 |
+ 'Address','Location' |
13064 |
+ ), |
13065 |
+ // http://qt-project.org/doc/qt-5/qtqml-models-qmlmodule.html |
13066 |
+ 9 => array( |
13067 |
+ 'DelegateModel','DelegateModelGroup','ListModel','ListElement','ObjectModel' |
13068 |
+ ), |
13069 |
+ // http://qt-project.org/doc/qt-5/qtqml-qmlmodule.html |
13070 |
+ 10 => array( |
13071 |
+ 'Binding','Component','Connections','Date','Instantiator', |
13072 |
+ 'Locale','Number','Qt','QtObject','String','Timer' |
13073 |
+ ), |
13074 |
+ // http://qt-project.org/doc/qt-5/qt-labs-folderlistmodel-qmlmodule.html |
13075 |
+ 11 => array( |
13076 |
+ 'FolderListModel' |
13077 |
+ ), |
13078 |
+ // http://qt-project.org/doc/qt-5/qtquick-localstorage-qmlmodule.html |
13079 |
+ 12 => array( |
13080 |
+ 'openDatabaseSync' |
13081 |
+ ), |
13082 |
+ // http://qt-project.org/doc/qt-5/qt-labs-settings-qmlmodule.html |
13083 |
+ 13 => array( |
13084 |
+ 'Settings' |
13085 |
+ ), |
13086 |
+ // http://qt-project.org/doc/qt-5/qtquick-window-qmlmodule.html |
13087 |
+ 14 => array( |
13088 |
+ 'Screen','Window','CloseEvent' |
13089 |
+ ), |
13090 |
+ // http://qt-project.org/doc/qt-5/qtquick-xmllistmodel-qmlmodule.html |
13091 |
+ 15 => array( |
13092 |
+ 'XmlRole','XmlListModel' |
13093 |
+ ), |
13094 |
+ // http://qt-project.org/doc/qt-5/qtquick-particles-qmlmodule.html |
13095 |
+ 16 => array( |
13096 |
+ 'Age','AngleDirection','CumulativeDirection','CustomParticle', |
13097 |
+ 'Direction','EllipseShape','Friction','Gravity','GroupGoal', |
13098 |
+ 'ImageParticle','ItemParticle','LineShape','MaskShape','Affector', |
13099 |
+ 'Emitter','Shape','ParticleGroup','ParticlePainter','ParticleSystem', |
13100 |
+ 'Attractor','PointDirection','RectangleShape','SpriteGoal', |
13101 |
+ 'TargetDirection','TrailEmitter','Turbulence','Particle','Wander' |
13102 |
+ ), |
13103 |
+ // http://qt-project.org/doc/qt-5/qttest-qmlmodule.html |
13104 |
+ 17 => array( |
13105 |
+ 'SignalSpy','TestCase' |
13106 |
+ ), |
13107 |
+ // http://qt-project.org/doc/qt-5/qtquick-qmltypereference.html |
13108 |
+ 18 => array( |
13109 |
+ 'Item','Rectangle','Image','BorderImage','AnimatedImage','AnimatedSprite', |
13110 |
+ 'SpriteSequence','Text','Accessible','Gradient','GradientStop','SystemPalette', |
13111 |
+ 'Sprite','FontLoader','Repeater','Loader','Visual Item Transformations','Transform', |
13112 |
+ 'Scale','Rotation','Translate','MouseArea','Keys','KeyNavigation','FocusScope', |
13113 |
+ 'Flickable','PinchArea','MultiPointTouchArea','Drag','DropArea','TextInput', |
13114 |
+ 'TextEdit','IntValidator','DoubleValidator','RegExpValidator','TouchPoint', |
13115 |
+ 'PinchEvent','WheelEvent','MouseEvent','KeyEvent','DragEvent','Positioner', |
13116 |
+ 'Column','Row','Grid','Flow','LayoutMirroring','State','PropertyChanges', |
13117 |
+ 'StateGroup','StateChangeScript','ParentChange','AnchorChanges','Transition', |
13118 |
+ 'ViewTransition','SequentialAnimation','ParallelAnimation','Behavior','PropertyAction', |
13119 |
+ 'PauseAnimation','SmoothedAnimation','SpringAnimation','ScriptAction','PropertyAnimation', |
13120 |
+ 'NumberAnimation','Vector3dAnimation','ColorAnimation','RotationAnimation','ParentAnimation', |
13121 |
+ 'AnchorAnimation','PathAnimation','XAnimator','YAnimator','ScaleAnimator','RotationAnimator', |
13122 |
+ 'OpacityAnimator','UniformAnimator','Lower-level Animation Types','PathInterpolator', |
13123 |
+ 'AnimationController','Path','PathLine','PathQuad','PathCubic','PathArc','PathCurve', |
13124 |
+ 'PathSvg','PathAttribute','PathPercent','VisualItemModel','VisualDataModel','VisualDataGroup', |
13125 |
+ 'ListView','GridView','PathView','Package','Flipable','ShaderEffect','ShaderEffectSource', |
13126 |
+ 'GridMesh','WorkerScript','Canvas','Context2D','CanvasGradient','CanvasPixelArray', |
13127 |
+ 'CanvasImageData','TextMetrics', |
13128 |
+ ), |
13129 |
+ // http://qt-project.org/doc/qt-5/qtquick-controls-qmlmodule.html |
13130 |
+ 19 => array( |
13131 |
+ 'ApplicationWindow','BusyIndicator','Button','Calendar', |
13132 |
+ 'CheckBox','ComboBox','GroupBox','Label','Menu','MenuBar', |
13133 |
+ 'ProgressBar','RadioButton','ScrollView','Slider','SpinBox', |
13134 |
+ 'SplitView','StackView','StackViewDelegate','StatusBar', |
13135 |
+ 'Switch','Tab','TabView','TableView','TableViewColumn', |
13136 |
+ 'TextArea','TextField','ToolBar','ToolButton','Action', |
13137 |
+ 'ExclusiveGroup','MenuSeparator','MenuItem','Stack' |
13138 |
+ ), |
13139 |
+ // http://qt-project.org/doc/qt-5/qtquick-dialogs-qmlmodule.html |
13140 |
+ 20 => array( |
13141 |
+ 'Dialog','ColorDialog','FileDialog','FontDialog','MessageDialog' |
13142 |
+ ), |
13143 |
+ // http://qt-project.org/doc/qt-5/qtquick-layouts-qmlmodule.html |
13144 |
+ 21 => array( |
13145 |
+ 'Layout','RowLayout','ColumnLayout','GridLayout' |
13146 |
+ ), |
13147 |
+ // http://qt-project.org/doc/qt-5/qtsensors-qmlmodule.html |
13148 |
+ 22 => array( |
13149 |
+ 'Accelerometer','AccelerometerReading','Altimeter','AltimeterReading', |
13150 |
+ 'AmbientLightReading','AmbientLightSensor','AmbientTemperatureReading', |
13151 |
+ 'AmbientTemperatureSensor','Compass','CompassReading','Gyroscope', |
13152 |
+ 'GyroscopeReading','HolsterReading','HolsterSensor','IRProximityReading', |
13153 |
+ 'IRProximitySensor','LightReading','LightSensor','Magnetometer', |
13154 |
+ 'MagnetometerReading','OrientationReading','OrientationSensor', |
13155 |
+ 'PressureReading','PressureSensor','ProximityReading','ProximitySensor', |
13156 |
+ 'RotationReading','RotationSensor','SensorGesture','SensorGlobal', |
13157 |
+ 'SensorReading','TapReading','TapSensor','TiltReading','TiltSensor' |
13158 |
+ ), |
13159 |
+ // http://qt-project.org/doc/qt-5/qtwinextras-qmlmodule.html |
13160 |
+ 23 => array( |
13161 |
+ 'JumpListDestination','JumpListLink','JumpListSeparator','DwmFeatures', |
13162 |
+ 'JumpList','JumpListCategory','TaskbarButton','ThumbnailToolBar','ThumbnailToolButton' |
13163 |
+ ), |
13164 |
+ // http://qt-project.org/doc/qt-5/qtwebkit-qmlmodule.html |
13165 |
+ 24 => array( |
13166 |
+ 'WebView','WebLoadRequest' |
13167 |
+ ) |
13168 |
+ ), |
13169 |
+ 'SYMBOLS' => array( |
13170 |
+ '(', ')', '[', ']', '{', '}', |
13171 |
+ '+', '-', '*', '/', '%', |
13172 |
+ '!', '@', '&', '|', '^', |
13173 |
+ '<', '>', '=', |
13174 |
+ ',', ';', '?', ':' |
13175 |
+ ), |
13176 |
+ 'CASE_SENSITIVE' => array( |
13177 |
+ GESHI_COMMENTS => false, |
13178 |
+ 1 => true, |
13179 |
+ 2 => true, |
13180 |
+ 3 => true, |
13181 |
+ 4 => true, |
13182 |
+ 5 => true, |
13183 |
+ 6 => true, |
13184 |
+ 7 => true, |
13185 |
+ 8 => true, |
13186 |
+ 9 => true, |
13187 |
+ 10 => true, |
13188 |
+ 11 => true, |
13189 |
+ 12 => true, |
13190 |
+ 13 => true, |
13191 |
+ 14 => true, |
13192 |
+ 15 => true, |
13193 |
+ 16 => true, |
13194 |
+ 17 => true, |
13195 |
+ 18 => true, |
13196 |
+ 19 => true, |
13197 |
+ 20 => true, |
13198 |
+ 21 => true, |
13199 |
+ 22 => true, |
13200 |
+ 23 => true, |
13201 |
+ 24 => true |
13202 |
+ ), |
13203 |
+ 'STYLES' => array( |
13204 |
+ 'KEYWORDS' => array( |
13205 |
+ 1 => 'color: #808041;', |
13206 |
+ 2 => 'color: #808041;', |
13207 |
+ 3 => 'color: #800780;', |
13208 |
+ 4 => 'color: #800780;', |
13209 |
+ 5 => 'color: #800780;', |
13210 |
+ 6 => 'color: #800780;', |
13211 |
+ 7 => 'color: #800780;', |
13212 |
+ 8 => 'color: #800780;', |
13213 |
+ 9 => 'color: #800780;', |
13214 |
+ 10 => 'color: #800780;', |
13215 |
+ 11 => 'color: #800780;', |
13216 |
+ 12 => 'color: #800780;', |
13217 |
+ 13 => 'color: #800780;', |
13218 |
+ 14 => 'color: #800780;', |
13219 |
+ 15 => 'color: #800780;', |
13220 |
+ 16 => 'color: #800780;', |
13221 |
+ 17 => 'color: #800780;', |
13222 |
+ 18 => 'color: #800780;', |
13223 |
+ 19 => 'color: #800780;', |
13224 |
+ 20 => 'color: #800780;', |
13225 |
+ 21 => 'color: #800780;', |
13226 |
+ 22 => 'color: #800780;', |
13227 |
+ 23 => 'color: #800780;', |
13228 |
+ 24 => 'color: #800780;' |
13229 |
+ ), |
13230 |
+ 'COMMENTS' => array( |
13231 |
+ 1 => 'color: #008025;', |
13232 |
+ 2 => 'color: #008025;', |
13233 |
+ 3 => 'color: #970009;', |
13234 |
+ 4 => 'color: #970009;', |
13235 |
+ 'MULTI' => 'color: #008025;' |
13236 |
+ ), |
13237 |
+ 'ESCAPE_CHAR' => array( |
13238 |
+ 0 => 'color: #000099; font-weight: bold;' |
13239 |
+ ), |
13240 |
+ 'BRACKETS' => array( |
13241 |
+ 0 => 'color: #000000;' |
13242 |
+ ), |
13243 |
+ 'STRINGS' => array( |
13244 |
+ 0 => 'color: #008025;' |
13245 |
+ ), |
13246 |
+ 'NUMBERS' => array( |
13247 |
+ 0 => 'color: #000000;' |
13248 |
+ ), |
13249 |
+ 'METHODS' => array( |
13250 |
+ 1 => 'color: #000000;' |
13251 |
+ ), |
13252 |
+ 'SYMBOLS' => array( |
13253 |
+ 0 => 'color: #000000;' |
13254 |
+ ), |
13255 |
+ 'REGEXPS' => array( |
13256 |
+ ), |
13257 |
+ 'SCRIPT' => array( |
13258 |
+ 0 => '', |
13259 |
+ 1 => '', |
13260 |
+ 2 => '', |
13261 |
+ 3 => '' |
13262 |
+ ) |
13263 |
+ ), |
13264 |
+ 'URLS' => array( |
13265 |
+ 1 => '', |
13266 |
+ 2 => '', |
13267 |
+ 3 => 'http://qt-project.org/doc/qt-5/qml-qtbluetooth-{FNAMEL}.html', |
13268 |
+ 4 => 'http://qt-project.org/doc/qt-5/qml-qtgraphicaleffects-{FNAMEL}.html', |
13269 |
+ 5 => 'http://qt-project.org/doc/qt-5/qml-qtaudioengine-{FNAMEL}.html', |
13270 |
+ 6 => 'http://qt-project.org/doc/qt-5/qml-qtmultimedia-{FNAMEL}.html', |
13271 |
+ 7 => 'http://qt-project.org/doc/qt-5/qml-qtnfc-{FNAMEL}.html', |
13272 |
+ 8 => 'http://qt-project.org/doc/qt-5/qml-qtpositioning-{FNAMEL}.html', |
13273 |
+ 9 => 'http://qt-project.org/doc/qt-5/qml-qtqml-models-{FNAMEL}.html', |
13274 |
+ 10 => 'http://qt-project.org/doc/qt-5/qml-qtqml-{FNAMEL}.html', |
13275 |
+ 11 => 'http://qt-project.org/doc/qt-5/qml-qt-labs-folderlistmodel-{FNAMEL}.html', |
13276 |
+ 12 => 'http://qt-project.org/doc/qt-5/qtquick-localstorage-qmlmodule.html', |
13277 |
+ 13 => 'http://qt-project.org/doc/qt-5/qml-qt-labs-settings-{FNAMEL}.html', |
13278 |
+ 14 => 'http://qt-project.org/doc/qt-5/qml-qtquick-window-{FNAMEL}.html', |
13279 |
+ 15 => 'http://qt-project.org/doc/qt-5/qml-qtquick-xmllistmodel-{FNAMEL}.html', |
13280 |
+ 16 => 'http://qt-project.org/doc/qt-5/qml-qtquick-particles-{FNAMEL}.html', |
13281 |
+ 17 => 'http://qt-project.org/doc/qt-5/qml-qttest-{FNAMEL}.html', |
13282 |
+ 18 => 'http://qt-project.org/doc/qt-5/qml-qtquick-{FNAMEL}.html', |
13283 |
+ 19 => 'http://qt-project.org/doc/qt-5/qml-qtquick-controls-{FNAMEL}.html', |
13284 |
+ 20 => 'http://qt-project.org/doc/qt-5/qml-qtquick-dialogs-{FNAMEL}.html', |
13285 |
+ 21 => 'http://qt-project.org/doc/qt-5/qml-qtquick-layouts-{FNAMEL}.html', |
13286 |
+ 22 => 'http://qt-project.org/doc/qt-5/qml-qtsensors-{FNAMEL}.html', |
13287 |
+ 23 => 'http://qt-project.org/doc/qt-5/qml-qtwinextras-{FNAMEL}.html', |
13288 |
+ 24 => 'http://qt-project.org/doc/qt-5/qml-qtwebkit-{FNAMEL}.html' |
13289 |
+ ), |
13290 |
+ 'OOLANG' => true, |
13291 |
+ 'OBJECT_SPLITTERS' => array( |
13292 |
+ 1 => '.' |
13293 |
+ ), |
13294 |
+ 'REGEXPS' => array( |
13295 |
+ ), |
13296 |
+ 'STRICT_MODE_APPLIES' => GESHI_MAYBE, |
13297 |
+ 'SCRIPT_DELIMITERS' => array( |
13298 |
+ 0 => array( |
13299 |
+ '<script type="text/javascript">' => '</script>' |
13300 |
+ ), |
13301 |
+ 1 => array( |
13302 |
+ '<script language="javascript">' => '</script>' |
13303 |
+ ) |
13304 |
+ ), |
13305 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
13306 |
+ 0 => true, |
13307 |
+ 1 => true |
13308 |
+ ) |
13309 |
+); |
13310 |
|
13311 |
diff --git a/plugins/wp-syntax/geshi/geshi/racket.php b/plugins/wp-syntax/geshi/geshi/racket.php |
13312 |
new file mode 100644 |
13313 |
index 0000000..09d6bb8 |
13314 |
--- /dev/null |
13315 |
+++ b/plugins/wp-syntax/geshi/geshi/racket.php |
13316 |
@@ -0,0 +1,964 @@ |
13317 |
+<?php |
13318 |
+/************************************************************************************* |
13319 |
+ * racket.php |
13320 |
+ * ---------- |
13321 |
+ * Author: Tim Brown (tim@××××.net) |
13322 |
+ * Copyright: (c) 2013 Tim Brown ((https://github.com/tim-brown/geshi-racket)) |
13323 |
+ * Release Version: 1.0.8.12 |
13324 |
+ * Date Started: 2013-03-01 |
13325 |
+ * |
13326 |
+ * Racket language file for GeSHi. |
13327 |
+ * |
13328 |
+ * This file was built automatically from the scripts in |
13329 |
+ * https://github.com/tim-brown/geshi-racket (you didn't think |
13330 |
+ * I typed those NUMBER regular expressions in myself, did you?). |
13331 |
+ * Use those scripts to regenerate the file. |
13332 |
+ * |
13333 |
+ * CHANGES |
13334 |
+ * ------- |
13335 |
+ * 1.0 (2013-03-31) |
13336 |
+ * - Initial Release1.1 (2013-03-31) |
13337 |
+ * - Added URLs, "symbol"-like identifiers moved to SYMBOLS* |
13338 |
+ * |
13339 |
+ * TODO (updated 2013-04-25) |
13340 |
+ * ------------------------- |
13341 |
+ * * better handling of empty and short arrays |
13342 |
+ * * care more about indentation and line lengths |
13343 |
+ * * most compound regexps are possibly over-bracketed: (or ...) |
13344 |
+ * * most compound regexps are possibly over-bracketed: (: ...) |
13345 |
+ * * URLs should be formed more smartly by discovering which module they came from. |
13346 |
+ * * '|...| identifiers |
13347 |
+ * * #<<HERE strings |
13348 |
+ * * #;(...) comments -- (note: requires balanced parenthesis regexp) |
13349 |
+ * |
13350 |
+ ************************************************************************************* |
13351 |
+ * |
13352 |
+ * This file is part of GeSHi. |
13353 |
+ * |
13354 |
+ * GeSHi is free software; you can redistribute it and/or modify |
13355 |
+ * it under the terms of the GNU General Public License as published by |
13356 |
+ * the Free Software Foundation; either version 2 of the License, or |
13357 |
+ * (at your option) any later version. |
13358 |
+ * |
13359 |
+ * GeSHi is distributed in the hope that it will be useful, |
13360 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
13361 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
13362 |
+ * GNU General Public License for more details. |
13363 |
+ * |
13364 |
+ * You should have received a copy of the GNU General Public License |
13365 |
+ * along with GeSHi; if not, write to the Free Software |
13366 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
13367 |
+ * |
13368 |
+ ************************************************************************************/ |
13369 |
+ |
13370 |
+$language_data = array( |
13371 |
+ 'LANG_NAME' => 'Racket', |
13372 |
+ 'COMMENT_SINGLE' => array( |
13373 |
+ 1 => ';', |
13374 |
+ ), |
13375 |
+ 'COMMENT_MULTI' => array( |
13376 |
+ '#|' => '|#', |
13377 |
+ ), |
13378 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
13379 |
+ 'QUOTEMARKS' => array('"', |
13380 |
+ ), |
13381 |
+ 'ESCAPE_CHAR' => '\\', |
13382 |
+ 'KEYWORDS' => array( |
13383 |
+ 1 => array( |
13384 |
+ 'abort-current-continuation', 'abs', 'absolute-path?', 'acos', 'add1', |
13385 |
+ 'alarm-evt', 'always-evt', 'andmap', 'angle', 'append', |
13386 |
+ 'arithmetic-shift', 'arity-at-least-value', 'arity-at-least?', |
13387 |
+ 'asin', 'assf', 'assoc', 'assq', 'assv', 'atan', 'banner', |
13388 |
+ 'bitwise-and', 'bitwise-bit-field', 'bitwise-bit-set?', |
13389 |
+ 'bitwise-ior', 'bitwise-not', 'bitwise-xor', 'boolean?', |
13390 |
+ 'bound-identifier=?', 'box', 'box-cas!', 'box-immutable', 'box?', |
13391 |
+ 'break-enabled', 'break-thread', 'build-list', 'build-path', |
13392 |
+ 'build-path/convention-type', 'build-string', 'build-vector', |
13393 |
+ 'byte-pregexp', 'byte-pregexp?', 'byte-ready?', 'byte-regexp', |
13394 |
+ 'byte-regexp?', 'byte?', 'bytes', 'bytes>?', 'bytes<?', |
13395 |
+ 'bytes->immutable-bytes', 'bytes->list', 'bytes->path', |
13396 |
+ 'bytes->path-element', 'bytes->string/latin-1', |
13397 |
+ 'bytes->string/locale', 'bytes->string/utf-8', |
13398 |
+ 'bytes-append', 'bytes-close-converter', 'bytes-convert', |
13399 |
+ 'bytes-convert-end', 'bytes-converter?', 'bytes-copy', |
13400 |
+ 'bytes-copy!', 'bytes-fill!', 'bytes-length', |
13401 |
+ 'bytes-open-converter', 'bytes-ref', 'bytes-set!', |
13402 |
+ 'bytes-utf-8-index', 'bytes-utf-8-length', 'bytes-utf-8-ref', |
13403 |
+ 'bytes=?', 'bytes?', 'caaaar', 'caaadr', 'caaar', 'caadar', |
13404 |
+ 'caaddr', 'caadr', 'caar', 'cadaar', 'cadadr', 'cadar', 'caddar', |
13405 |
+ 'cadddr', 'caddr', 'cadr', 'call-in-nested-thread', |
13406 |
+ 'call-with-break-parameterization', |
13407 |
+ 'call-with-composable-continuation', |
13408 |
+ 'call-with-continuation-barrier', 'call-with-continuation-prompt', |
13409 |
+ 'call-with-current-continuation', 'call-with-escape-continuation', |
13410 |
+ 'call-with-exception-handler', |
13411 |
+ 'call-with-immediate-continuation-mark', |
13412 |
+ 'call-with-parameterization', 'call-with-semaphore', |
13413 |
+ 'call-with-semaphore/enable-break', 'call-with-values', 'call/cc', |
13414 |
+ 'call/ec', 'car', 'cdaaar', 'cdaadr', 'cdaar', 'cdadar', 'cdaddr', |
13415 |
+ 'cdadr', 'cdar', 'cddaar', 'cddadr', 'cddar', 'cdddar', 'cddddr', |
13416 |
+ 'cdddr', 'cddr', 'cdr', 'ceiling', 'channel-get', 'channel-put', |
13417 |
+ 'channel-put-evt', 'channel-put-evt?', 'channel-try-get', |
13418 |
+ 'channel?', 'chaperone-box', 'chaperone-continuation-mark-key', |
13419 |
+ 'chaperone-evt', 'chaperone-hash', 'chaperone-of?', |
13420 |
+ 'chaperone-procedure', 'chaperone-prompt-tag', 'chaperone-struct', |
13421 |
+ 'chaperone-struct-type', 'chaperone-vector', 'chaperone?', |
13422 |
+ 'char>=?', 'char>?', 'char<=?', 'char<?', |
13423 |
+ 'char->integer', 'char-alphabetic?', 'char-blank?', |
13424 |
+ 'char-ci>=?', 'char-ci>?', 'char-ci<=?', 'char-ci<?', |
13425 |
+ 'char-ci=?', 'char-downcase', 'char-foldcase', |
13426 |
+ 'char-general-category', 'char-graphic?', 'char-iso-control?', |
13427 |
+ 'char-lower-case?', 'char-numeric?', 'char-punctuation?', |
13428 |
+ 'char-ready?', 'char-symbolic?', 'char-title-case?', |
13429 |
+ 'char-titlecase', 'char-upcase', 'char-upper-case?', |
13430 |
+ 'char-utf-8-length', 'char-whitespace?', 'char=?', 'char?', |
13431 |
+ 'check-duplicate-identifier', |
13432 |
+ 'checked-procedure-check-and-extract', 'choice-evt', |
13433 |
+ 'cleanse-path', 'close-input-port', 'close-output-port', |
13434 |
+ 'collect-garbage', 'collection-file-path', 'collection-path', |
13435 |
+ 'compile', 'compile-allow-set!-undefined', |
13436 |
+ 'compile-context-preservation-enabled', |
13437 |
+ 'compile-enforce-module-constants', 'compile-syntax', |
13438 |
+ 'compiled-expression?', 'compiled-module-expression?', |
13439 |
+ 'complete-path?', 'complex?', 'compose', 'compose1', 'cons', |
13440 |
+ 'continuation-mark-key?', 'continuation-mark-set->context', |
13441 |
+ 'continuation-mark-set->list', |
13442 |
+ 'continuation-mark-set->list*', 'continuation-mark-set-first', |
13443 |
+ 'continuation-mark-set?', 'continuation-marks', |
13444 |
+ 'continuation-prompt-available?', 'continuation-prompt-tag?', |
13445 |
+ 'continuation?', 'copy-file', 'cos', |
13446 |
+ 'current-break-parameterization', 'current-code-inspector', |
13447 |
+ 'current-command-line-arguments', 'current-compile', |
13448 |
+ 'current-compiled-file-roots', 'current-continuation-marks', |
13449 |
+ 'current-custodian', 'current-directory', 'current-drive', |
13450 |
+ 'current-error-port', 'current-eval', |
13451 |
+ 'current-evt-pseudo-random-generator', 'current-gc-milliseconds', |
13452 |
+ 'current-get-interaction-input-port', |
13453 |
+ 'current-inexact-milliseconds', 'current-input-port', |
13454 |
+ 'current-inspector', 'current-library-collection-paths', |
13455 |
+ 'current-load', 'current-load-extension', |
13456 |
+ 'current-load-relative-directory', 'current-load/use-compiled', |
13457 |
+ 'current-locale', 'current-logger', 'current-memory-use', |
13458 |
+ 'current-milliseconds', 'current-module-declare-name', |
13459 |
+ 'current-module-declare-source', 'current-module-name-resolver', |
13460 |
+ 'current-namespace', 'current-output-port', |
13461 |
+ 'current-parameterization', 'current-preserved-thread-cell-values', |
13462 |
+ 'current-print', 'current-process-milliseconds', |
13463 |
+ 'current-prompt-read', 'current-pseudo-random-generator', |
13464 |
+ 'current-read-interaction', 'current-reader-guard', |
13465 |
+ 'current-readtable', 'current-seconds', 'current-security-guard', |
13466 |
+ 'current-subprocess-custodian-mode', 'current-thread', |
13467 |
+ 'current-thread-group', 'current-thread-initial-stack-size', |
13468 |
+ 'current-write-relative-directory', 'custodian-box-value', |
13469 |
+ 'custodian-box?', 'custodian-limit-memory', |
13470 |
+ 'custodian-managed-list', 'custodian-memory-accounting-available?', |
13471 |
+ 'custodian-require-memory', 'custodian-shutdown-all', 'custodian?', |
13472 |
+ 'custom-print-quotable-accessor', 'custom-print-quotable?', |
13473 |
+ 'custom-write-accessor', 'custom-write?', 'date*-nanosecond', |
13474 |
+ 'date*-time-zone-name', 'date*?', 'date-day', 'date-dst?', |
13475 |
+ 'date-hour', 'date-minute', 'date-month', 'date-second', |
13476 |
+ 'date-time-zone-offset', 'date-week-day', 'date-year', |
13477 |
+ 'date-year-day', 'date?', 'datum->syntax', |
13478 |
+ 'datum-intern-literal', 'default-continuation-prompt-tag', |
13479 |
+ 'delete-directory', 'delete-file', 'denominator', |
13480 |
+ 'directory-exists?', 'directory-list', 'display', 'displayln', |
13481 |
+ 'double-flonum?', 'dump-memory-stats', 'dynamic-require', |
13482 |
+ 'dynamic-require-for-syntax', 'dynamic-wind', 'eof', 'eof-object?', |
13483 |
+ 'ephemeron-value', 'ephemeron?', 'eprintf', 'eq-hash-code', 'eq?', |
13484 |
+ 'equal-hash-code', 'equal-secondary-hash-code', 'equal?', |
13485 |
+ 'equal?/recur', 'eqv-hash-code', 'eqv?', 'error', |
13486 |
+ 'error-display-handler', 'error-escape-handler', |
13487 |
+ 'error-print-context-length', 'error-print-source-location', |
13488 |
+ 'error-print-width', 'error-value->string-handler', 'eval', |
13489 |
+ 'eval-jit-enabled', 'eval-syntax', 'even?', 'evt?', |
13490 |
+ 'exact->inexact', 'exact-integer?', |
13491 |
+ 'exact-nonnegative-integer?', 'exact-positive-integer?', 'exact?', |
13492 |
+ 'executable-yield-handler', 'exit', 'exit-handler', |
13493 |
+ 'exn-continuation-marks', 'exn-message', 'exn:break-continuation', |
13494 |
+ 'exn:break:hang-up?', 'exn:break:terminate?', 'exn:break?', |
13495 |
+ 'exn:fail:contract:arity?', 'exn:fail:contract:continuation?', |
13496 |
+ 'exn:fail:contract:divide-by-zero?', |
13497 |
+ 'exn:fail:contract:non-fixnum-result?', |
13498 |
+ 'exn:fail:contract:variable-id', 'exn:fail:contract:variable?', |
13499 |
+ 'exn:fail:contract?', 'exn:fail:filesystem:errno-errno', |
13500 |
+ 'exn:fail:filesystem:errno?', 'exn:fail:filesystem:exists?', |
13501 |
+ 'exn:fail:filesystem:version?', 'exn:fail:filesystem?', |
13502 |
+ 'exn:fail:network:errno-errno', 'exn:fail:network:errno?', |
13503 |
+ 'exn:fail:network?', 'exn:fail:out-of-memory?', |
13504 |
+ 'exn:fail:read-srclocs', 'exn:fail:read:eof?', |
13505 |
+ 'exn:fail:read:non-char?', 'exn:fail:read?', |
13506 |
+ 'exn:fail:syntax-exprs', 'exn:fail:syntax:unbound?', |
13507 |
+ 'exn:fail:syntax?', 'exn:fail:unsupported?', 'exn:fail:user?', |
13508 |
+ 'exn:fail?', 'exn:srclocs-accessor', 'exn:srclocs?', 'exn?', 'exp', |
13509 |
+ 'expand', 'expand-once', 'expand-syntax', 'expand-syntax-once', |
13510 |
+ 'expand-syntax-to-top-form', 'expand-to-top-form', |
13511 |
+ 'expand-user-path', 'expt', 'file-exists?', |
13512 |
+ 'file-or-directory-identity', 'file-or-directory-modify-seconds', |
13513 |
+ 'file-or-directory-permissions', 'file-position', 'file-position*', |
13514 |
+ 'file-size', 'file-stream-buffer-mode', 'file-stream-port?', |
13515 |
+ 'filesystem-root-list', 'filter', 'find-executable-path', |
13516 |
+ 'find-library-collection-paths', 'find-system-path', 'findf', |
13517 |
+ 'fixnum?', 'floating-point-bytes->real', 'flonum?', 'floor', |
13518 |
+ 'flush-output', 'foldl', 'foldr', 'for-each', 'format', 'fprintf', |
13519 |
+ 'free-identifier=?', 'free-label-identifier=?', |
13520 |
+ 'free-template-identifier=?', 'free-transformer-identifier=?', |
13521 |
+ 'gcd', 'generate-temporaries', 'gensym', 'get-output-bytes', |
13522 |
+ 'get-output-string', 'getenv', 'global-port-print-handler', |
13523 |
+ 'guard-evt', 'handle-evt', 'handle-evt?', 'hash', 'hash->list', |
13524 |
+ 'hash-copy', 'hash-count', 'hash-eq?', 'hash-equal?', 'hash-eqv?', |
13525 |
+ 'hash-for-each', 'hash-has-key?', 'hash-iterate-first', |
13526 |
+ 'hash-iterate-key', 'hash-iterate-next', 'hash-iterate-value', |
13527 |
+ 'hash-keys', 'hash-map', 'hash-placeholder?', 'hash-ref', |
13528 |
+ 'hash-ref!', 'hash-remove', 'hash-remove!', 'hash-set', |
13529 |
+ 'hash-set!', 'hash-set*', 'hash-set*!', 'hash-update', |
13530 |
+ 'hash-update!', 'hash-values', 'hash-weak?', 'hash?', 'hasheq', |
13531 |
+ 'hasheqv', 'identifier-binding', 'identifier-label-binding', |
13532 |
+ 'identifier-prune-lexical-context', |
13533 |
+ 'identifier-prune-to-source-module', |
13534 |
+ 'identifier-remove-from-definition-context', |
13535 |
+ 'identifier-template-binding', 'identifier-transformer-binding', |
13536 |
+ 'identifier?', 'imag-part', 'immutable?', 'impersonate-box', |
13537 |
+ 'impersonate-continuation-mark-key', 'impersonate-hash', |
13538 |
+ 'impersonate-procedure', 'impersonate-prompt-tag', |
13539 |
+ 'impersonate-struct', 'impersonate-vector', 'impersonator-of?', |
13540 |
+ 'impersonator-prop:application-mark', |
13541 |
+ 'impersonator-property-accessor-procedure?', |
13542 |
+ 'impersonator-property?', 'impersonator?', 'in-cycle', |
13543 |
+ 'in-directory', 'in-hash', 'in-hash-keys', 'in-hash-pairs', |
13544 |
+ 'in-hash-values', 'in-parallel', 'in-sequences', |
13545 |
+ 'in-values*-sequence', 'in-values-sequence', 'inexact->exact', |
13546 |
+ 'inexact-real?', 'inexact?', 'input-port?', 'inspector?', |
13547 |
+ 'integer->char', 'integer->integer-bytes', |
13548 |
+ 'integer-bytes->integer', 'integer-length', 'integer-sqrt', |
13549 |
+ 'integer-sqrt/remainder', 'integer?', |
13550 |
+ 'internal-definition-context-seal', 'internal-definition-context?', |
13551 |
+ 'keyword<?', 'keyword->string', 'keyword-apply', 'keyword?', |
13552 |
+ 'kill-thread', 'lcm', 'length', 'liberal-define-context?', |
13553 |
+ 'link-exists?', 'list', 'list*', 'list->bytes', |
13554 |
+ 'list->string', 'list->vector', 'list-ref', 'list-tail', |
13555 |
+ 'list?', 'load', 'load-extension', 'load-on-demand-enabled', |
13556 |
+ 'load-relative', 'load-relative-extension', 'load/cd', |
13557 |
+ 'load/use-compiled', 'local-expand', 'local-expand/capture-lifts', |
13558 |
+ 'local-transformer-expand', |
13559 |
+ 'local-transformer-expand/capture-lifts', 'locale-string-encoding', |
13560 |
+ 'log', 'log-level?', 'log-max-level', 'log-message', |
13561 |
+ 'log-receiver?', 'logger-name', 'logger?', 'magnitude', |
13562 |
+ 'make-arity-at-least', 'make-base-empty-namespace', |
13563 |
+ 'make-base-namespace', 'make-bytes', 'make-channel', |
13564 |
+ 'make-continuation-mark-key', 'make-continuation-prompt-tag', |
13565 |
+ 'make-custodian', 'make-custodian-box', 'make-date', 'make-date*', |
13566 |
+ 'make-derived-parameter', 'make-directory', 'make-do-sequence', |
13567 |
+ 'make-empty-namespace', 'make-ephemeron', 'make-exn', |
13568 |
+ 'make-exn:break', 'make-exn:break:hang-up', |
13569 |
+ 'make-exn:break:terminate', 'make-exn:fail', |
13570 |
+ 'make-exn:fail:contract', 'make-exn:fail:contract:arity', |
13571 |
+ 'make-exn:fail:contract:continuation', |
13572 |
+ 'make-exn:fail:contract:divide-by-zero', |
13573 |
+ 'make-exn:fail:contract:non-fixnum-result', |
13574 |
+ 'make-exn:fail:contract:variable', 'make-exn:fail:filesystem', |
13575 |
+ 'make-exn:fail:filesystem:errno', |
13576 |
+ 'make-exn:fail:filesystem:exists', |
13577 |
+ 'make-exn:fail:filesystem:version', 'make-exn:fail:network', |
13578 |
+ 'make-exn:fail:network:errno', 'make-exn:fail:out-of-memory', |
13579 |
+ 'make-exn:fail:read', 'make-exn:fail:read:eof', |
13580 |
+ 'make-exn:fail:read:non-char', 'make-exn:fail:syntax', |
13581 |
+ 'make-exn:fail:syntax:unbound', 'make-exn:fail:unsupported', |
13582 |
+ 'make-exn:fail:user', 'make-file-or-directory-link', 'make-hash', |
13583 |
+ 'make-hash-placeholder', 'make-hasheq', 'make-hasheq-placeholder', |
13584 |
+ 'make-hasheqv', 'make-hasheqv-placeholder', 'make-immutable-hash', |
13585 |
+ 'make-immutable-hasheq', 'make-immutable-hasheqv', |
13586 |
+ 'make-impersonator-property', 'make-input-port', 'make-inspector', |
13587 |
+ 'make-keyword-procedure', 'make-known-char-range-list', |
13588 |
+ 'make-log-receiver', 'make-logger', 'make-output-port', |
13589 |
+ 'make-parameter', 'make-phantom-bytes', 'make-pipe', |
13590 |
+ 'make-placeholder', 'make-polar', 'make-prefab-struct', |
13591 |
+ 'make-pseudo-random-generator', 'make-reader-graph', |
13592 |
+ 'make-readtable', 'make-rectangular', 'make-rename-transformer', |
13593 |
+ 'make-resolved-module-path', 'make-security-guard', |
13594 |
+ 'make-semaphore', 'make-set!-transformer', 'make-shared-bytes', |
13595 |
+ 'make-sibling-inspector', 'make-special-comment', 'make-srcloc', |
13596 |
+ 'make-string', 'make-struct-field-accessor', |
13597 |
+ 'make-struct-field-mutator', 'make-struct-type', |
13598 |
+ 'make-struct-type-property', 'make-syntax-delta-introducer', |
13599 |
+ 'make-syntax-introducer', 'make-thread-cell', 'make-thread-group', |
13600 |
+ 'make-vector', 'make-weak-box', 'make-weak-hash', |
13601 |
+ 'make-weak-hasheq', 'make-weak-hasheqv', 'make-will-executor', |
13602 |
+ 'map', 'max', 'mcar', 'mcdr', 'mcons', 'member', 'memf', 'memq', |
13603 |
+ 'memv', 'min', 'module->exports', 'module->imports', |
13604 |
+ 'module->language-info', 'module->namespace', |
13605 |
+ 'module-compiled-exports', 'module-compiled-imports', |
13606 |
+ 'module-compiled-language-info', 'module-compiled-name', |
13607 |
+ 'module-compiled-submodules', 'module-declared?', |
13608 |
+ 'module-path-index-join', 'module-path-index-resolve', |
13609 |
+ 'module-path-index-split', 'module-path-index-submodule', |
13610 |
+ 'module-path-index?', 'module-path?', 'module-predefined?', |
13611 |
+ 'module-provide-protected?', 'modulo', 'mpair?', 'nack-guard-evt', |
13612 |
+ 'namespace-anchor->empty-namespace', |
13613 |
+ 'namespace-anchor->namespace', 'namespace-anchor?', |
13614 |
+ 'namespace-attach-module', 'namespace-attach-module-declaration', |
13615 |
+ 'namespace-base-phase', 'namespace-mapped-symbols', |
13616 |
+ 'namespace-module-identifier', 'namespace-module-registry', |
13617 |
+ 'namespace-require', 'namespace-require/constant', |
13618 |
+ 'namespace-require/copy', 'namespace-require/expansion-time', |
13619 |
+ 'namespace-set-variable-value!', 'namespace-symbol->identifier', |
13620 |
+ 'namespace-syntax-introduce', 'namespace-undefine-variable!', |
13621 |
+ 'namespace-unprotect-module', 'namespace-variable-value', |
13622 |
+ 'namespace?', 'negative?', 'never-evt', 'newline', |
13623 |
+ 'normal-case-path', 'not', 'null', 'null?', 'number->string', |
13624 |
+ 'number?', 'numerator', 'object-name', 'odd?', 'open-input-bytes', |
13625 |
+ 'open-input-string', 'open-output-bytes', 'open-output-string', |
13626 |
+ 'ormap', 'output-port?', 'pair?', 'parameter-procedure=?', |
13627 |
+ 'parameter?', 'parameterization?', 'path->bytes', |
13628 |
+ 'path->complete-path', 'path->directory-path', |
13629 |
+ 'path->string', 'path-add-suffix', 'path-convention-type', |
13630 |
+ 'path-element->bytes', 'path-element->string', |
13631 |
+ 'path-for-some-system?', 'path-list-string->path-list', |
13632 |
+ 'path-replace-suffix', 'path-string?', 'path?', 'peek-byte', |
13633 |
+ 'peek-byte-or-special', 'peek-bytes', 'peek-bytes!', |
13634 |
+ 'peek-bytes-avail!', 'peek-bytes-avail!*', |
13635 |
+ 'peek-bytes-avail!/enable-break', 'peek-char', |
13636 |
+ 'peek-char-or-special', 'peek-string', 'peek-string!', |
13637 |
+ 'phantom-bytes?', 'pipe-content-length', 'placeholder-get', |
13638 |
+ 'placeholder-set!', 'placeholder?', 'poll-guard-evt', |
13639 |
+ 'port-closed-evt', 'port-closed?', 'port-commit-peeked', |
13640 |
+ 'port-count-lines!', 'port-count-lines-enabled', |
13641 |
+ 'port-display-handler', 'port-file-identity', 'port-file-unlock', |
13642 |
+ 'port-next-location', 'port-print-handler', 'port-progress-evt', |
13643 |
+ 'port-provides-progress-evts?', 'port-read-handler', |
13644 |
+ 'port-try-file-lock?', 'port-write-handler', 'port-writes-atomic?', |
13645 |
+ 'port-writes-special?', 'port?', 'positive?', |
13646 |
+ 'prefab-key->struct-type', 'prefab-key?', 'prefab-struct-key', |
13647 |
+ 'pregexp', 'pregexp?', 'primitive-closure?', |
13648 |
+ 'primitive-result-arity', 'primitive?', 'print', |
13649 |
+ 'print-as-expression', 'print-boolean-long-form', 'print-box', |
13650 |
+ 'print-graph', 'print-hash-table', 'print-mpair-curly-braces', |
13651 |
+ 'print-pair-curly-braces', 'print-reader-abbreviations', |
13652 |
+ 'print-struct', 'print-syntax-width', 'print-unreadable', |
13653 |
+ 'print-vector-length', 'printf', 'procedure->method', |
13654 |
+ 'procedure-arity', 'procedure-arity-includes?', 'procedure-arity?', |
13655 |
+ 'procedure-closure-contents-eq?', 'procedure-extract-target', |
13656 |
+ 'procedure-keywords', 'procedure-reduce-arity', |
13657 |
+ 'procedure-reduce-keyword-arity', 'procedure-rename', |
13658 |
+ 'procedure-struct-type?', 'procedure?', 'progress-evt?', |
13659 |
+ 'prop:arity-string', 'prop:checked-procedure', |
13660 |
+ 'prop:custom-print-quotable', 'prop:custom-write', |
13661 |
+ 'prop:equal+hash', 'prop:evt', 'prop:exn:srclocs', |
13662 |
+ 'prop:impersonator-of', 'prop:input-port', |
13663 |
+ 'prop:liberal-define-context', 'prop:output-port', |
13664 |
+ 'prop:procedure', 'prop:rename-transformer', 'prop:sequence', |
13665 |
+ 'prop:set!-transformer', 'pseudo-random-generator->vector', |
13666 |
+ 'pseudo-random-generator-vector?', 'pseudo-random-generator?', |
13667 |
+ 'putenv', 'quotient', 'quotient/remainder', 'raise', |
13668 |
+ 'raise-argument-error', 'raise-arguments-error', |
13669 |
+ 'raise-arity-error', 'raise-mismatch-error', 'raise-range-error', |
13670 |
+ 'raise-result-error', 'raise-syntax-error', 'raise-type-error', |
13671 |
+ 'raise-user-error', 'random', 'random-seed', 'rational?', |
13672 |
+ 'rationalize', 'read', 'read-accept-bar-quote', 'read-accept-box', |
13673 |
+ 'read-accept-compiled', 'read-accept-dot', 'read-accept-graph', |
13674 |
+ 'read-accept-infix-dot', 'read-accept-lang', |
13675 |
+ 'read-accept-quasiquote', 'read-accept-reader', 'read-byte', |
13676 |
+ 'read-byte-or-special', 'read-bytes', 'read-bytes!', |
13677 |
+ 'read-bytes-avail!', 'read-bytes-avail!*', |
13678 |
+ 'read-bytes-avail!/enable-break', 'read-bytes-line', |
13679 |
+ 'read-case-sensitive', 'read-char', 'read-char-or-special', |
13680 |
+ 'read-curly-brace-as-paren', 'read-decimal-as-inexact', |
13681 |
+ 'read-eval-print-loop', 'read-language', 'read-line', |
13682 |
+ 'read-on-demand-source', 'read-square-bracket-as-paren', |
13683 |
+ 'read-string', 'read-string!', 'read-syntax', |
13684 |
+ 'read-syntax/recursive', 'read/recursive', 'readtable-mapping', |
13685 |
+ 'readtable?', 'real->decimal-string', 'real->double-flonum', |
13686 |
+ 'real->floating-point-bytes', 'real->single-flonum', |
13687 |
+ 'real-part', 'real?', 'regexp', 'regexp-match', |
13688 |
+ 'regexp-match-exact?', 'regexp-match-peek', |
13689 |
+ 'regexp-match-peek-immediate', 'regexp-match-peek-positions', |
13690 |
+ 'regexp-match-peek-positions-immediate', |
13691 |
+ 'regexp-match-peek-positions-immediate/end', |
13692 |
+ 'regexp-match-peek-positions/end', 'regexp-match-positions', |
13693 |
+ 'regexp-match-positions/end', 'regexp-match/end', 'regexp-match?', |
13694 |
+ 'regexp-max-lookbehind', 'regexp-quote', 'regexp-replace', |
13695 |
+ 'regexp-replace*', 'regexp-replace-quote', 'regexp-replaces', |
13696 |
+ 'regexp-split', 'regexp-try-match', 'regexp?', 'relative-path?', |
13697 |
+ 'remainder', 'remove', 'remove*', 'remq', 'remq*', 'remv', 'remv*', |
13698 |
+ 'rename-file-or-directory', 'rename-transformer-target', |
13699 |
+ 'rename-transformer?', 'reroot-path', 'resolve-path', |
13700 |
+ 'resolved-module-path-name', 'resolved-module-path?', 'reverse', |
13701 |
+ 'round', 'seconds->date', 'security-guard?', |
13702 |
+ 'semaphore-peek-evt', 'semaphore-peek-evt?', 'semaphore-post', |
13703 |
+ 'semaphore-try-wait?', 'semaphore-wait', |
13704 |
+ 'semaphore-wait/enable-break', 'semaphore?', 'sequence->stream', |
13705 |
+ 'sequence-generate', 'sequence-generate*', 'sequence?', |
13706 |
+ 'set!-transformer-procedure', 'set!-transformer?', 'set-box!', |
13707 |
+ 'set-mcar!', 'set-mcdr!', 'set-phantom-bytes!', |
13708 |
+ 'set-port-next-location!', 'shared-bytes', 'shell-execute', |
13709 |
+ 'simplify-path', 'sin', 'single-flonum?', 'sleep', |
13710 |
+ 'special-comment-value', 'special-comment?', 'split-path', 'sqrt', |
13711 |
+ 'srcloc-column', 'srcloc-line', 'srcloc-position', 'srcloc-source', |
13712 |
+ 'srcloc-span', 'srcloc?', 'stop-after', 'stop-before', 'string', |
13713 |
+ 'string>=?', 'string>?', 'string<=?', 'string<?', |
13714 |
+ 'string->bytes/latin-1', 'string->bytes/locale', |
13715 |
+ 'string->bytes/utf-8', 'string->immutable-string', |
13716 |
+ 'string->keyword', 'string->list', 'string->number', |
13717 |
+ 'string->path', 'string->path-element', 'string->symbol', |
13718 |
+ 'string->uninterned-symbol', 'string->unreadable-symbol', |
13719 |
+ 'string-append', 'string-ci>=?', 'string-ci>?', |
13720 |
+ 'string-ci<=?', 'string-ci<?', 'string-ci=?', 'string-copy', |
13721 |
+ 'string-copy!', 'string-downcase', 'string-fill!', |
13722 |
+ 'string-foldcase', 'string-length', 'string-locale>?', |
13723 |
+ 'string-locale<?', 'string-locale-ci>?', |
13724 |
+ 'string-locale-ci<?', 'string-locale-ci=?', |
13725 |
+ 'string-locale-downcase', 'string-locale-upcase', |
13726 |
+ 'string-locale=?', 'string-normalize-nfc', 'string-normalize-nfd', |
13727 |
+ 'string-normalize-nfkc', 'string-normalize-nfkd', 'string-ref', |
13728 |
+ 'string-set!', 'string-titlecase', 'string-upcase', |
13729 |
+ 'string-utf-8-length', 'string=?', 'string?', 'struct->vector', |
13730 |
+ 'struct-accessor-procedure?', 'struct-constructor-procedure?', |
13731 |
+ 'struct-info', 'struct-mutator-procedure?', |
13732 |
+ 'struct-predicate-procedure?', 'struct-type-info', |
13733 |
+ 'struct-type-make-constructor', 'struct-type-make-predicate', |
13734 |
+ 'struct-type-property-accessor-procedure?', |
13735 |
+ 'struct-type-property?', 'struct-type?', 'struct:arity-at-least', |
13736 |
+ 'struct:date', 'struct:date*', 'struct:exn', 'struct:exn:break', |
13737 |
+ 'struct:exn:break:hang-up', 'struct:exn:break:terminate', |
13738 |
+ 'struct:exn:fail', 'struct:exn:fail:contract', |
13739 |
+ 'struct:exn:fail:contract:arity', |
13740 |
+ 'struct:exn:fail:contract:continuation', |
13741 |
+ 'struct:exn:fail:contract:divide-by-zero', |
13742 |
+ 'struct:exn:fail:contract:non-fixnum-result', |
13743 |
+ 'struct:exn:fail:contract:variable', 'struct:exn:fail:filesystem', |
13744 |
+ 'struct:exn:fail:filesystem:errno', |
13745 |
+ 'struct:exn:fail:filesystem:exists', |
13746 |
+ 'struct:exn:fail:filesystem:version', 'struct:exn:fail:network', |
13747 |
+ 'struct:exn:fail:network:errno', 'struct:exn:fail:out-of-memory', |
13748 |
+ 'struct:exn:fail:read', 'struct:exn:fail:read:eof', |
13749 |
+ 'struct:exn:fail:read:non-char', 'struct:exn:fail:syntax', |
13750 |
+ 'struct:exn:fail:syntax:unbound', 'struct:exn:fail:unsupported', |
13751 |
+ 'struct:exn:fail:user', 'struct:srcloc', 'struct?', 'sub1', |
13752 |
+ 'subbytes', 'subprocess', 'subprocess-group-enabled', |
13753 |
+ 'subprocess-kill', 'subprocess-pid', 'subprocess-status', |
13754 |
+ 'subprocess-wait', 'subprocess?', 'substring', 'symbol->string', |
13755 |
+ 'symbol-interned?', 'symbol-unreadable?', 'symbol?', 'sync', |
13756 |
+ 'sync/enable-break', 'sync/timeout', 'sync/timeout/enable-break', |
13757 |
+ 'syntax->datum', 'syntax->list', 'syntax-arm', |
13758 |
+ 'syntax-column', 'syntax-disarm', 'syntax-e', 'syntax-line', |
13759 |
+ 'syntax-local-bind-syntaxes', 'syntax-local-certifier', |
13760 |
+ 'syntax-local-context', 'syntax-local-expand-expression', |
13761 |
+ 'syntax-local-get-shadower', 'syntax-local-introduce', |
13762 |
+ 'syntax-local-lift-context', 'syntax-local-lift-expression', |
13763 |
+ 'syntax-local-lift-module-end-declaration', |
13764 |
+ 'syntax-local-lift-provide', 'syntax-local-lift-require', |
13765 |
+ 'syntax-local-lift-values-expression', |
13766 |
+ 'syntax-local-make-definition-context', |
13767 |
+ 'syntax-local-make-delta-introducer', |
13768 |
+ 'syntax-local-module-defined-identifiers', |
13769 |
+ 'syntax-local-module-exports', |
13770 |
+ 'syntax-local-module-required-identifiers', 'syntax-local-name', |
13771 |
+ 'syntax-local-phase-level', 'syntax-local-submodules', |
13772 |
+ 'syntax-local-transforming-module-provides?', 'syntax-local-value', |
13773 |
+ 'syntax-local-value/immediate', 'syntax-original?', |
13774 |
+ 'syntax-position', 'syntax-property', |
13775 |
+ 'syntax-property-symbol-keys', 'syntax-protect', 'syntax-rearm', |
13776 |
+ 'syntax-recertify', 'syntax-shift-phase-level', 'syntax-source', |
13777 |
+ 'syntax-source-module', 'syntax-span', 'syntax-taint', |
13778 |
+ 'syntax-tainted?', 'syntax-track-origin', |
13779 |
+ 'syntax-transforming-module-expression?', 'syntax-transforming?', |
13780 |
+ 'syntax?', 'system-big-endian?', 'system-idle-evt', |
13781 |
+ 'system-language+country', 'system-library-subpath', |
13782 |
+ 'system-path-convention-type', 'system-type', 'tan', |
13783 |
+ 'terminal-port?', 'thread', 'thread-cell-ref', 'thread-cell-set!', |
13784 |
+ 'thread-cell-values?', 'thread-cell?', 'thread-dead-evt', |
13785 |
+ 'thread-dead?', 'thread-group?', 'thread-receive', |
13786 |
+ 'thread-receive-evt', 'thread-resume', 'thread-resume-evt', |
13787 |
+ 'thread-rewind-receive', 'thread-running?', 'thread-send', |
13788 |
+ 'thread-suspend', 'thread-suspend-evt', 'thread-try-receive', |
13789 |
+ 'thread-wait', 'thread/suspend-to-kill', 'thread?', 'time-apply', |
13790 |
+ 'truncate', 'unbox', 'uncaught-exception-handler', |
13791 |
+ 'use-collection-link-paths', 'use-compiled-file-paths', |
13792 |
+ 'use-user-specific-search-paths', 'values', |
13793 |
+ 'variable-reference->empty-namespace', |
13794 |
+ 'variable-reference->module-base-phase', |
13795 |
+ 'variable-reference->module-declaration-inspector', |
13796 |
+ 'variable-reference->module-path-index', |
13797 |
+ 'variable-reference->module-source', |
13798 |
+ 'variable-reference->namespace', 'variable-reference->phase', |
13799 |
+ 'variable-reference->resolved-module-path', |
13800 |
+ 'variable-reference-constant?', 'variable-reference?', 'vector', |
13801 |
+ 'vector->immutable-vector', 'vector->list', |
13802 |
+ 'vector->pseudo-random-generator', |
13803 |
+ 'vector->pseudo-random-generator!', 'vector->values', |
13804 |
+ 'vector-copy!', 'vector-fill!', 'vector-immutable', |
13805 |
+ 'vector-length', 'vector-ref', 'vector-set!', |
13806 |
+ 'vector-set-performance-stats!', 'vector?', 'version', 'void', |
13807 |
+ 'void?', 'weak-box-value', 'weak-box?', 'will-execute', |
13808 |
+ 'will-executor?', 'will-register', 'will-try-execute', 'wrap-evt', |
13809 |
+ 'write', 'write-byte', 'write-bytes', 'write-bytes-avail', |
13810 |
+ 'write-bytes-avail*', 'write-bytes-avail-evt', |
13811 |
+ 'write-bytes-avail/enable-break', 'write-char', 'write-special', |
13812 |
+ 'write-special-avail*', 'write-special-evt', 'write-string', |
13813 |
+ 'zero?', |
13814 |
+ ), |
13815 |
+ |
13816 |
+ 2 => array( |
13817 |
+ '#%app', '#%datum', '#%expression', '#%module-begin', '#%plain-app', |
13818 |
+ '#%plain-lambda', '#%plain-module-begin', '#%provide', '#%require', |
13819 |
+ '#%stratified-body', '#%top', '#%top-interaction', |
13820 |
+ '#%variable-reference', ':do-in', 'all-defined-out', |
13821 |
+ 'all-from-out', 'and', 'apply', 'arity-at-least', 'begin', |
13822 |
+ 'begin-for-syntax', 'begin0', 'call-with-input-file', |
13823 |
+ 'call-with-input-file*', 'call-with-output-file', |
13824 |
+ 'call-with-output-file*', 'case', 'case-lambda', 'combine-in', |
13825 |
+ 'combine-out', 'cond', 'date', 'date*', 'define', |
13826 |
+ 'define-for-syntax', 'define-logger', 'define-namespace-anchor', |
13827 |
+ 'define-sequence-syntax', 'define-struct', 'define-struct/derived', |
13828 |
+ 'define-syntax', 'define-syntax-rule', 'define-syntaxes', |
13829 |
+ 'define-values', 'define-values-for-syntax', 'do', 'else', |
13830 |
+ 'except-in', 'except-out', 'exn', 'exn:break', 'exn:break:hang-up', |
13831 |
+ 'exn:break:terminate', 'exn:fail', 'exn:fail:contract', |
13832 |
+ 'exn:fail:contract:arity', 'exn:fail:contract:continuation', |
13833 |
+ 'exn:fail:contract:divide-by-zero', |
13834 |
+ 'exn:fail:contract:non-fixnum-result', |
13835 |
+ 'exn:fail:contract:variable', 'exn:fail:filesystem', |
13836 |
+ 'exn:fail:filesystem:errno', 'exn:fail:filesystem:exists', |
13837 |
+ 'exn:fail:filesystem:version', 'exn:fail:network', |
13838 |
+ 'exn:fail:network:errno', 'exn:fail:out-of-memory', |
13839 |
+ 'exn:fail:read', 'exn:fail:read:eof', 'exn:fail:read:non-char', |
13840 |
+ 'exn:fail:syntax', 'exn:fail:syntax:unbound', |
13841 |
+ 'exn:fail:unsupported', 'exn:fail:user', 'file', 'for', 'for*', |
13842 |
+ 'for*/and', 'for*/first', 'for*/fold', 'for*/fold/derived', |
13843 |
+ 'for*/hash', 'for*/hasheq', 'for*/hasheqv', 'for*/last', |
13844 |
+ 'for*/list', 'for*/lists', 'for*/or', 'for*/product', 'for*/sum', |
13845 |
+ 'for*/vector', 'for-label', 'for-meta', 'for-syntax', |
13846 |
+ 'for-template', 'for/and', 'for/first', 'for/fold', |
13847 |
+ 'for/fold/derived', 'for/hash', 'for/hasheq', 'for/hasheqv', |
13848 |
+ 'for/last', 'for/list', 'for/lists', 'for/or', 'for/product', |
13849 |
+ 'for/sum', 'for/vector', 'gen:custom-write', 'gen:equal+hash', |
13850 |
+ 'if', 'in-bytes', 'in-bytes-lines', 'in-indexed', |
13851 |
+ 'in-input-port-bytes', 'in-input-port-chars', 'in-lines', |
13852 |
+ 'in-list', 'in-mlist', 'in-naturals', 'in-port', 'in-producer', |
13853 |
+ 'in-range', 'in-string', 'in-value', 'in-vector', 'lambda', 'let', |
13854 |
+ 'let*', 'let*-values', 'let-syntax', 'let-syntaxes', 'let-values', |
13855 |
+ 'let/cc', 'let/ec', 'letrec', 'letrec-syntax', 'letrec-syntaxes', |
13856 |
+ 'letrec-syntaxes+values', 'letrec-values', 'lib', 'local-require', |
13857 |
+ 'log-debug', 'log-error', 'log-fatal', 'log-info', 'log-warning', |
13858 |
+ 'module', 'module*', 'module+', 'only-in', 'only-meta-in', |
13859 |
+ 'open-input-file', 'open-input-output-file', 'open-output-file', |
13860 |
+ 'or', 'parameterize', 'parameterize*', 'parameterize-break', |
13861 |
+ 'planet', 'prefix-in', 'prefix-out', 'protect-out', 'provide', |
13862 |
+ 'quasiquote', 'quasisyntax', 'quasisyntax/loc', 'quote', |
13863 |
+ 'quote-syntax', 'quote-syntax/prune', 'regexp-match*', |
13864 |
+ 'regexp-match-peek-positions*', 'regexp-match-positions*', |
13865 |
+ 'relative-in', 'rename-in', 'rename-out', 'require', 'set!', |
13866 |
+ 'set!-values', 'sort', 'srcloc', 'struct', 'struct-copy', |
13867 |
+ 'struct-field-index', 'struct-out', 'submod', 'syntax', |
13868 |
+ 'syntax-case', 'syntax-case*', 'syntax-id-rules', 'syntax-rules', |
13869 |
+ 'syntax/loc', 'time', 'unless', 'unquote', 'unquote-splicing', |
13870 |
+ 'unsyntax', 'unsyntax-splicing', 'when', 'with-continuation-mark', |
13871 |
+ 'with-handlers', 'with-handlers*', 'with-input-from-file', |
13872 |
+ 'with-output-to-file', 'with-syntax', 'λ', |
13873 |
+ ), |
13874 |
+ |
13875 |
+ 3 => array( |
13876 |
+ '>/c', '</c', 'append*', 'append-map', 'argmax', 'argmin', |
13877 |
+ 'bad-number-of-results', 'base->-doms/c', 'base->-rngs/c', |
13878 |
+ 'base->?', 'blame-add-unknown-context', 'blame-context', |
13879 |
+ 'blame-contract', 'blame-fmt->-string', 'blame-negative', |
13880 |
+ 'blame-original?', 'blame-positive', 'blame-replace-negative', |
13881 |
+ 'blame-source', 'blame-swap', 'blame-swapped?', 'blame-value', |
13882 |
+ 'blame?', 'boolean=?', 'build-chaperone-contract-property', |
13883 |
+ 'build-compound-type-name', 'build-contract-property', |
13884 |
+ 'build-flat-contract-property', 'bytes-append*', 'bytes-join', |
13885 |
+ 'bytes-no-nuls?', 'call-with-input-bytes', |
13886 |
+ 'call-with-input-string', 'call-with-output-bytes', |
13887 |
+ 'call-with-output-string', 'chaperone-contract-property?', |
13888 |
+ 'chaperone-contract?', 'class->interface', 'class-info', |
13889 |
+ 'class?', 'coerce-chaperone-contract', |
13890 |
+ 'coerce-chaperone-contracts', 'coerce-contract', |
13891 |
+ 'coerce-contract/f', 'coerce-contracts', 'coerce-flat-contract', |
13892 |
+ 'coerce-flat-contracts', 'conjugate', 'cons?', 'const', |
13893 |
+ 'contract-first-order', 'contract-first-order-passes?', |
13894 |
+ 'contract-name', 'contract-proc', 'contract-projection', |
13895 |
+ 'contract-property?', 'contract-random-generate', |
13896 |
+ 'contract-stronger?', 'contract-struct-exercise', |
13897 |
+ 'contract-struct-generate', 'contract?', 'convert-stream', |
13898 |
+ 'copy-directory/files', 'copy-port', 'cosh', 'count', |
13899 |
+ 'current-blame-format', 'current-future', 'curry', 'curryr', |
13900 |
+ 'degrees->radians', 'delete-directory/files', |
13901 |
+ 'deserialize-info:set-v0', 'dict-iter-contract', |
13902 |
+ 'dict-key-contract', 'dict-value-contract', 'drop', 'drop-right', |
13903 |
+ 'dup-input-port', 'dup-output-port', 'dynamic-get-field', |
13904 |
+ 'dynamic-send', 'dynamic-set-field!', 'eighth', 'empty', |
13905 |
+ 'empty-sequence', 'empty-stream', 'empty?', 'env-stash', |
13906 |
+ 'eq-contract-val', 'eq-contract?', 'equal<%>', |
13907 |
+ 'equal-contract-val', 'equal-contract?', 'exact-ceiling', |
13908 |
+ 'exact-floor', 'exact-round', 'exact-truncate', |
13909 |
+ 'exn:fail:contract:blame-object', 'exn:fail:contract:blame?', |
13910 |
+ 'exn:fail:object?', 'exn:misc:match?', 'explode-path', |
13911 |
+ 'externalizable<%>', 'false', 'false/c', 'false?', |
13912 |
+ 'field-names', 'fifth', 'file-name-from-path', |
13913 |
+ 'filename-extension', 'filter-map', 'filter-not', |
13914 |
+ 'filter-read-input-port', 'find-files', 'first', 'flat-contract', |
13915 |
+ 'flat-contract-predicate', 'flat-contract-property?', |
13916 |
+ 'flat-contract?', 'flat-named-contract', 'flatten', 'fold-files', |
13917 |
+ 'force', 'fourth', 'fsemaphore-count', 'fsemaphore-post', |
13918 |
+ 'fsemaphore-try-wait?', 'fsemaphore-wait', 'fsemaphore?', 'future', |
13919 |
+ 'future?', 'futures-enabled?', 'generate-ctc-fail?', |
13920 |
+ 'generate-env', 'generate-member-key', 'generate/choose', |
13921 |
+ 'generate/direct', 'generic?', 'group-execute-bit', |
13922 |
+ 'group-read-bit', 'group-write-bit', 'has-contract?', 'identity', |
13923 |
+ 'impersonator-contract?', 'impersonator-prop:contracted', |
13924 |
+ 'implementation?', 'implementation?/c', 'in-dict', 'in-dict-keys', |
13925 |
+ 'in-dict-pairs', 'in-dict-values', 'infinite?', |
13926 |
+ 'input-port-append', 'instanceof/c', 'interface->method-names', |
13927 |
+ 'interface-extension?', 'interface?', 'is-a?', 'is-a?/c', 'last', |
13928 |
+ 'last-pair', 'list->set', 'list->seteq', 'list->seteqv', |
13929 |
+ 'make-chaperone-contract', 'make-contract', 'make-custom-hash', |
13930 |
+ 'make-directory*', 'make-exn:fail:contract:blame', |
13931 |
+ 'make-exn:fail:object', 'make-flat-contract', 'make-fsemaphore', |
13932 |
+ 'make-generate-ctc-fail', 'make-generic', |
13933 |
+ 'make-immutable-custom-hash', 'make-input-port/read-to-peek', |
13934 |
+ 'make-limited-input-port', 'make-list', 'make-lock-file-name', |
13935 |
+ 'make-mixin-contract', 'make-none/c', 'make-pipe-with-specials', |
13936 |
+ 'make-primitive-class', 'make-proj-contract', |
13937 |
+ 'make-tentative-pretty-print-output-port', 'make-weak-custom-hash', |
13938 |
+ 'match-equality-test', 'matches-arity-exactly?', |
13939 |
+ 'member-name-key-hash-code', 'member-name-key=?', |
13940 |
+ 'member-name-key?', 'merge-input', 'method-in-interface?', |
13941 |
+ 'mixin-contract', 'n->th', 'nan?', 'natural-number/c', 'negate', |
13942 |
+ 'new-∀/c', 'new-∃/c', 'ninth', 'normalize-path', 'object%', |
13943 |
+ 'object->vector', 'object-info', 'object-interface', |
13944 |
+ 'object-method-arity-includes?', 'object=?', 'object?', |
13945 |
+ 'open-output-nowhere', 'order-of-magnitude', 'other-execute-bit', |
13946 |
+ 'other-read-bit', 'other-write-bit', 'parse-command-line', |
13947 |
+ 'partition', 'path-element?', 'path-only', 'pathlist-closure', |
13948 |
+ 'pi', 'pi.f', 'place-break', 'place-channel', 'place-channel-get', |
13949 |
+ 'place-channel-put', 'place-channel-put/get', 'place-channel?', |
13950 |
+ 'place-dead-evt', 'place-enabled?', 'place-kill', |
13951 |
+ 'place-message-allowed?', 'place-sleep', 'place-wait', 'place?', |
13952 |
+ 'port->bytes', 'port->list', 'port->string', |
13953 |
+ 'predicate/c', 'preferences-lock-file-mode', 'pretty-display', |
13954 |
+ 'pretty-format', 'pretty-print', |
13955 |
+ 'pretty-print-.-symbol-without-bars', |
13956 |
+ 'pretty-print-abbreviate-read-macros', 'pretty-print-columns', |
13957 |
+ 'pretty-print-current-style-table', 'pretty-print-depth', |
13958 |
+ 'pretty-print-exact-as-decimal', 'pretty-print-extend-style-table', |
13959 |
+ 'pretty-print-handler', 'pretty-print-newline', |
13960 |
+ 'pretty-print-post-print-hook', 'pretty-print-pre-print-hook', |
13961 |
+ 'pretty-print-print-hook', 'pretty-print-print-line', |
13962 |
+ 'pretty-print-remap-stylable', 'pretty-print-show-inexactness', |
13963 |
+ 'pretty-print-size-hook', 'pretty-print-style-table?', |
13964 |
+ 'pretty-printing', 'pretty-write', 'printable<%>', |
13965 |
+ 'printable/c', 'process', 'process*', 'process*/ports', |
13966 |
+ 'process/ports', 'processor-count', 'promise-forced?', |
13967 |
+ 'promise-running?', 'promise?', 'prop:chaperone-contract', |
13968 |
+ 'prop:contract', 'prop:contracted', 'prop:dict', |
13969 |
+ 'prop:flat-contract', 'prop:opt-chaperone-contract', |
13970 |
+ 'prop:opt-chaperone-contract-get-test', |
13971 |
+ 'prop:opt-chaperone-contract?', 'prop:stream', 'proper-subset?', |
13972 |
+ 'put-preferences', 'radians->degrees', 'raise-blame-error', |
13973 |
+ 'raise-contract-error', 'range', 'reencode-input-port', |
13974 |
+ 'reencode-output-port', 'relocate-input-port', |
13975 |
+ 'relocate-output-port', 'rest', 'second', 'sequence->list', |
13976 |
+ 'sequence-add-between', 'sequence-andmap', 'sequence-append', |
13977 |
+ 'sequence-count', 'sequence-filter', 'sequence-fold', |
13978 |
+ 'sequence-for-each', 'sequence-length', 'sequence-map', |
13979 |
+ 'sequence-ormap', 'sequence-ref', 'sequence-tail', 'set', |
13980 |
+ 'set->list', 'set-add', 'set-count', 'set-empty?', 'set-eq?', |
13981 |
+ 'set-equal?', 'set-eqv?', 'set-first', 'set-for-each', |
13982 |
+ 'set-intersect', 'set-map', 'set-member?', 'set-remove', |
13983 |
+ 'set-rest', 'set-subtract', 'set-symmetric-difference', |
13984 |
+ 'set-union', 'set/c', 'set=?', 'set?', 'seteq', 'seteqv', |
13985 |
+ 'seventh', 'sgn', 'shuffle', 'simple-form-path', 'sinh', 'sixth', |
13986 |
+ 'skip-projection-wrapper?', 'some-system-path->string', |
13987 |
+ 'special-filter-input-port', 'split-at', 'split-at-right', 'sqr', |
13988 |
+ 'stream->list', 'stream-add-between', 'stream-andmap', |
13989 |
+ 'stream-append', 'stream-count', 'stream-empty?', 'stream-filter', |
13990 |
+ 'stream-first', 'stream-fold', 'stream-for-each', 'stream-length', |
13991 |
+ 'stream-map', 'stream-ormap', 'stream-ref', 'stream-rest', |
13992 |
+ 'stream-tail', 'stream?', 'string->some-system-path', |
13993 |
+ 'string-append*', 'string-no-nuls?', 'struct-type-property/c', |
13994 |
+ 'struct:exn:fail:contract:blame', 'struct:exn:fail:object', |
13995 |
+ 'subclass?', 'subclass?/c', 'subset?', 'symbol=?', 'system', |
13996 |
+ 'system*', 'system*/exit-code', 'system/exit-code', 'take', |
13997 |
+ 'take-right', 'tanh', 'tcp-abandon-port', 'tcp-accept', |
13998 |
+ 'tcp-accept-evt', 'tcp-accept-ready?', 'tcp-accept/enable-break', |
13999 |
+ 'tcp-addresses', 'tcp-close', 'tcp-connect', |
14000 |
+ 'tcp-connect/enable-break', 'tcp-listen', 'tcp-listener?', |
14001 |
+ 'tcp-port?', 'tentative-pretty-print-port-cancel', |
14002 |
+ 'tentative-pretty-print-port-transfer', 'tenth', |
14003 |
+ 'the-unsupplied-arg', 'third', 'touch', 'transplant-input-port', |
14004 |
+ 'transplant-output-port', 'true', 'udp-addresses', 'udp-bind!', |
14005 |
+ 'udp-bound?', 'udp-close', 'udp-connect!', 'udp-connected?', |
14006 |
+ 'udp-open-socket', 'udp-receive!', 'udp-receive!*', |
14007 |
+ 'udp-receive!-evt', 'udp-receive!/enable-break', |
14008 |
+ 'udp-receive-ready-evt', 'udp-send', 'udp-send*', 'udp-send-evt', |
14009 |
+ 'udp-send-ready-evt', 'udp-send-to', 'udp-send-to*', |
14010 |
+ 'udp-send-to-evt', 'udp-send-to/enable-break', |
14011 |
+ 'udp-send/enable-break', 'udp?', 'unit?', 'unsupplied-arg?', |
14012 |
+ 'user-execute-bit', 'user-read-bit', 'user-write-bit', |
14013 |
+ 'value-contract', 'vector-append', 'vector-argmax', |
14014 |
+ 'vector-argmin', 'vector-copy', 'vector-count', 'vector-drop', |
14015 |
+ 'vector-drop-right', 'vector-filter', 'vector-filter-not', |
14016 |
+ 'vector-map', 'vector-map!', 'vector-member', 'vector-memq', |
14017 |
+ 'vector-memv', 'vector-set*!', 'vector-split-at', |
14018 |
+ 'vector-split-at-right', 'vector-take', 'vector-take-right', |
14019 |
+ 'with-input-from-bytes', 'with-input-from-string', |
14020 |
+ 'with-output-to-bytes', 'with-output-to-string', 'would-be-future', |
14021 |
+ 'writable<%>', 'xor', |
14022 |
+ ), |
14023 |
+ 4 => array( |
14024 |
+ '>=/c', '<=/c', '->*m', '->d', '->dm', '->i', '->m', |
14025 |
+ '=/c', 'absent', 'abstract', 'add-between', 'and/c', 'any', |
14026 |
+ 'any/c', 'augment', 'augment*', 'augment-final', 'augment-final*', |
14027 |
+ 'augride', 'augride*', 'between/c', 'blame-add-context', |
14028 |
+ 'box-immutable/c', 'box/c', 'call-with-file-lock/timeout', |
14029 |
+ 'case->', 'case->m', 'class', 'class*', |
14030 |
+ 'class-field-accessor', 'class-field-mutator', 'class/c', |
14031 |
+ 'class/derived', 'command-line', 'compound-unit', |
14032 |
+ 'compound-unit/infer', 'cons/c', 'continuation-mark-key/c', |
14033 |
+ 'contract', 'contract-out', 'contract-struct', 'contracted', |
14034 |
+ 'current-contract-region', 'define-compound-unit', |
14035 |
+ 'define-compound-unit/infer', 'define-contract-struct', |
14036 |
+ 'define-local-member-name', 'define-match-expander', |
14037 |
+ 'define-member-name', 'define-opt/c', 'define-serializable-class', |
14038 |
+ 'define-serializable-class*', 'define-signature', |
14039 |
+ 'define-signature-form', 'define-struct/contract', 'define-unit', |
14040 |
+ 'define-unit-binding', 'define-unit-from-context', |
14041 |
+ 'define-unit/contract', 'define-unit/new-import-export', |
14042 |
+ 'define-unit/s', 'define-values-for-export', |
14043 |
+ 'define-values/invoke-unit', 'define-values/invoke-unit/infer', |
14044 |
+ 'define/augment', 'define/augment-final', 'define/augride', |
14045 |
+ 'define/contract', 'define/final-prop', 'define/match', |
14046 |
+ 'define/overment', 'define/override', 'define/override-final', |
14047 |
+ 'define/private', 'define/public', 'define/public-final', |
14048 |
+ 'define/pubment', 'define/subexpression-pos-prop', 'delay', |
14049 |
+ 'delay/idle', 'delay/name', 'delay/strict', 'delay/sync', |
14050 |
+ 'delay/thread', 'dict->list', 'dict-can-functional-set?', |
14051 |
+ 'dict-can-remove-keys?', 'dict-count', 'dict-for-each', |
14052 |
+ 'dict-has-key?', 'dict-iterate-first', 'dict-iterate-key', |
14053 |
+ 'dict-iterate-next', 'dict-iterate-value', 'dict-keys', 'dict-map', |
14054 |
+ 'dict-mutable?', 'dict-ref', 'dict-ref!', 'dict-remove', |
14055 |
+ 'dict-remove!', 'dict-set', 'dict-set!', 'dict-set*', 'dict-set*!', |
14056 |
+ 'dict-update', 'dict-update!', 'dict-values', 'dict?', |
14057 |
+ 'display-lines', 'display-lines-to-file', 'display-to-file', |
14058 |
+ 'dynamic-place', 'dynamic-place*', 'eof-evt', 'except', |
14059 |
+ 'exn:fail:contract:blame', 'exn:fail:object', 'export', 'extends', |
14060 |
+ 'field', 'field-bound?', 'file->bytes', 'file->bytes-lines', |
14061 |
+ 'file->lines', 'file->list', 'file->string', |
14062 |
+ 'file->value', 'find-relative-path', 'flat-murec-contract', |
14063 |
+ 'flat-rec-contract', 'for*/set', 'for*/seteq', 'for*/seteqv', |
14064 |
+ 'for/set', 'for/seteq', 'for/seteqv', 'gen:dict', 'gen:stream', |
14065 |
+ 'generic', 'get-field', 'get-preference', 'hash/c', 'implies', |
14066 |
+ 'import', 'in-set', 'in-stream', 'include', |
14067 |
+ 'include-at/relative-to', 'include-at/relative-to/reader', |
14068 |
+ 'include/reader', 'inherit', 'inherit-field', 'inherit/inner', |
14069 |
+ 'inherit/super', 'init', 'init-depend', 'init-field', 'init-rest', |
14070 |
+ 'inner', 'inspect', 'instantiate', 'integer-in', 'interface', |
14071 |
+ 'interface*', 'invoke-unit', 'invoke-unit/infer', 'lazy', 'link', |
14072 |
+ 'list/c', 'listof', 'local', 'make-handle-get-preference-locked', |
14073 |
+ 'make-object', 'make-temporary-file', 'match', 'match*', |
14074 |
+ 'match*/derived', 'match-define', 'match-define-values', |
14075 |
+ 'match-lambda', 'match-lambda*', 'match-lambda**', 'match-let', |
14076 |
+ 'match-let*', 'match-let*-values', 'match-let-values', |
14077 |
+ 'match-letrec', 'match/derived', 'match/values', 'member-name-key', |
14078 |
+ 'method-contract?', 'mixin', 'nand', 'new', 'non-empty-listof', |
14079 |
+ 'none/c', 'nor', 'not/c', 'object-contract', 'object/c', |
14080 |
+ 'one-of/c', 'only', 'open', 'opt/c', 'or/c', 'overment', |
14081 |
+ 'overment*', 'override', 'override*', 'override-final', |
14082 |
+ 'override-final*', 'parameter/c', 'parametric->/c', |
14083 |
+ 'peek-bytes!-evt', 'peek-bytes-avail!-evt', 'peek-bytes-evt', |
14084 |
+ 'peek-string!-evt', 'peek-string-evt', 'peeking-input-port', |
14085 |
+ 'place', 'place*', 'port->bytes-lines', 'port->lines', |
14086 |
+ 'prefix', 'private', 'private*', 'procedure-arity-includes/c', |
14087 |
+ 'promise/c', 'prompt-tag/c', 'prop:dict/contract', |
14088 |
+ 'provide-signature-elements', 'provide/contract', 'public', |
14089 |
+ 'public*', 'public-final', 'public-final*', 'pubment', 'pubment*', |
14090 |
+ 'read-bytes!-evt', 'read-bytes-avail!-evt', 'read-bytes-evt', |
14091 |
+ 'read-bytes-line-evt', 'read-line-evt', 'read-string!-evt', |
14092 |
+ 'read-string-evt', 'real-in', 'recursive-contract', |
14093 |
+ 'regexp-match-evt', 'remove-duplicates', 'rename', 'rename-inner', |
14094 |
+ 'rename-super', 'send', 'send*', 'send+', 'send-generic', |
14095 |
+ 'send/apply', 'send/keyword-apply', 'set-field!', 'shared', |
14096 |
+ 'stream', 'stream-cons', 'string-join', 'string-len/c', |
14097 |
+ 'string-normalize-spaces', 'string-replace', 'string-split', |
14098 |
+ 'string-trim', 'struct*', 'struct/c', 'struct/ctc', 'struct/dc', |
14099 |
+ 'super', 'super-instantiate', 'super-make-object', 'super-new', |
14100 |
+ 'symbols', 'syntax/c', 'tag', 'this', 'this%', 'thunk', 'thunk*', |
14101 |
+ 'unconstrained-domain->', 'unit', 'unit-from-context', 'unit/c', |
14102 |
+ 'unit/new-import-export', 'unit/s', 'vector-immutable/c', |
14103 |
+ 'vector-immutableof', 'vector/c', 'vectorof', 'with-contract', |
14104 |
+ 'with-method', 'write-to-file', '~.a', '~.s', '~.v', '~a', '~e', |
14105 |
+ '~r', '~s', '~v', |
14106 |
+ ), |
14107 |
+ ), |
14108 |
+ 'SYMBOLS' => array( |
14109 |
+ 0 => array( |
14110 |
+ '>', '>=', '<', '<=', '*', '+', '-', '->', '->*', '...', '/', |
14111 |
+ '=', '=>', '==', '_', '#fl', '#fx', '#s', '#', '#f', '#F', |
14112 |
+ '#false', '#t', '#T', '#true', '#lang', '#reader', '.', '\'', '#`', |
14113 |
+ '#,@', '#,', '#\'', '`', '@', ',', '#%', '#$', '#&', '#~', '#rx', |
14114 |
+ '#px', '#<<', '#;', '#hash', '#', |
14115 |
+ ), |
14116 |
+ ), |
14117 |
+ 'CASE_SENSITIVE' => array( |
14118 |
+ GESHI_COMMENTS => false, |
14119 |
+ 1 => false, |
14120 |
+ 2 => false, |
14121 |
+ 3 => false, |
14122 |
+ 4 => false, |
14123 |
+ ), |
14124 |
+ 'NUMBERS' => array( |
14125 |
+ 1 => '(((#x#e)|(#e#x)|(#x#i)|(#i#x)|(#x))((((((((((((-)|(\+)))?(((('. |
14126 |
+ '(([0-9])+)?(\.)?(([0-9a-fA-F])+(#)*)))|(((([0-9a-fA-F])+(#)*)'. |
14127 |
+ '(\.)?(#)*))|(((([0-9a-fA-F])+(#)*)\\/(([0-9a-fA-F])+(#)*))))('. |
14128 |
+ '([sl]((((-)|(\+)))?([0-9])+)))?)))|((((-)|(\+))(((inf\.)|(nan'. |
14129 |
+ '\.))[0f])))))?((-)|(\+))(((((((([0-9])+)?(\.)?(([0-9a-fA-F])+'. |
14130 |
+ '(#)*)))|(((([0-9a-fA-F])+(#)*)(\.)?(#)*))|(((([0-9a-fA-F])+(#'. |
14131 |
+ ')*)\\/(([0-9a-fA-F])+(#)*))))(([sl]((((-)|(\+)))?([0-9])+)))?'. |
14132 |
+ '))|((((inf\.)|(nan\.))[0f])))i))|((((((((-)|(\+)))?(((((([0-9'. |
14133 |
+ '])+)?(\.)?(([0-9a-fA-F])+(#)*)))|(((([0-9a-fA-F])+(#)*)(\.)?('. |
14134 |
+ '#)*))|(((([0-9a-fA-F])+(#)*)\\/(([0-9a-fA-F])+(#)*))))(([sl]('. |
14135 |
+ '(((-)|(\+)))?([0-9])+)))?)))|((((-)|(\+))(((inf\.)|(nan\.))[0'. |
14136 |
+ 'f]))))@((((((-)|(\+)))?(((((([0-9])+)?(\.)?(([0-9a-fA-F])+(#)'. |
14137 |
+ '*)))|(((([0-9a-fA-F])+(#)*)(\.)?(#)*))|(((([0-9a-fA-F])+(#)*)'. |
14138 |
+ '\\/(([0-9a-fA-F])+(#)*))))(([sl]((((-)|(\+)))?([0-9])+)))?)))'. |
14139 |
+ '|((((-)|(\+))(((inf\.)|(nan\.))[0f]))))))))|((((((-)|(\+)))?('. |
14140 |
+ '([0-9])+\\/([0-9])+))((-)|(\+))(([0-9])+\\/([0-9])+)i))|((((('. |
14141 |
+ '-)|(\+)))?(([0-9])+\\/([0-9])+)))|(((((((-)|(\+)))?(((((([0-9'. |
14142 |
+ '])+)?(\.)?(([0-9a-fA-F])+(#)*)))|(((([0-9a-fA-F])+(#)*)(\.)?('. |
14143 |
+ '#)*))|(((([0-9a-fA-F])+(#)*)\\/(([0-9a-fA-F])+(#)*))))(([sl]('. |
14144 |
+ '(((-)|(\+)))?([0-9])+)))?)))|((((-)|(\+))(((inf\.)|(nan\.))[0'. |
14145 |
+ 'f])))))|(((((-)|(\+)))?([0-9])+))))', |
14146 |
+ 2 => '(((#o#e)|(#e#o)|(#o#i)|(#i#o)|(#o))((((((((((((-)|(\+)))?(((('. |
14147 |
+ '(([0-9])+)?(\.)?(([0-7])+(#)*)))|(((([0-7])+(#)*)(\.)?(#)*))|'. |
14148 |
+ '(((([0-7])+(#)*)\\/(([0-7])+(#)*))))(((([sl])|([def]))((((-)|'. |
14149 |
+ '(\+)))?([0-9])+)))?)))|((((-)|(\+))(((inf\.)|(nan\.))[0f]))))'. |
14150 |
+ ')?((-)|(\+))(((((((([0-9])+)?(\.)?(([0-7])+(#)*)))|(((([0-7])'. |
14151 |
+ '+(#)*)(\.)?(#)*))|(((([0-7])+(#)*)\\/(([0-7])+(#)*))))(((([sl'. |
14152 |
+ '])|([def]))((((-)|(\+)))?([0-9])+)))?))|((((inf\.)|(nan\.))[0'. |
14153 |
+ 'f])))i))|((((((((-)|(\+)))?(((((([0-9])+)?(\.)?(([0-7])+(#)*)'. |
14154 |
+ '))|(((([0-7])+(#)*)(\.)?(#)*))|(((([0-7])+(#)*)\\/(([0-7])+(#'. |
14155 |
+ ')*))))(((([sl])|([def]))((((-)|(\+)))?([0-9])+)))?)))|((((-)|'. |
14156 |
+ '(\+))(((inf\.)|(nan\.))[0f]))))@((((((-)|(\+)))?(((((([0-9])+'. |
14157 |
+ ')?(\.)?(([0-7])+(#)*)))|(((([0-7])+(#)*)(\.)?(#)*))|(((([0-7]'. |
14158 |
+ ')+(#)*)\\/(([0-7])+(#)*))))(((([sl])|([def]))((((-)|(\+)))?(['. |
14159 |
+ '0-9])+)))?)))|((((-)|(\+))(((inf\.)|(nan\.))[0f]))))))))|(((('. |
14160 |
+ '((-)|(\+)))?(([0-9])+\\/([0-9])+))((-)|(\+))(([0-9])+\\/([0-9'. |
14161 |
+ '])+)i))|(((((-)|(\+)))?(([0-9])+\\/([0-9])+)))|(((((((-)|(\+)'. |
14162 |
+ '))?(((((([0-9])+)?(\.)?(([0-7])+(#)*)))|(((([0-7])+(#)*)(\.)?'. |
14163 |
+ '(#)*))|(((([0-7])+(#)*)\\/(([0-7])+(#)*))))(((([sl])|([def]))'. |
14164 |
+ '((((-)|(\+)))?([0-9])+)))?)))|((((-)|(\+))(((inf\.)|(nan\.))['. |
14165 |
+ '0f])))))|(((((-)|(\+)))?([0-9])+))))', |
14166 |
+ 3 => '(((#b#e)|(#e#b)|(#b#i)|(#i#b)|(#b))((((((((((((-)|(\+)))?(((('. |
14167 |
+ '(([0-9])+)?(\.)?(([0-1])+(#)*)))|(((([0-1])+(#)*)(\.)?(#)*))|'. |
14168 |
+ '(((([0-1])+(#)*)\\/(([0-1])+(#)*))))(((([sl])|([def]))((((-)|'. |
14169 |
+ '(\+)))?([0-9])+)))?)))|((((-)|(\+))(((inf\.)|(nan\.))[0f]))))'. |
14170 |
+ ')?((-)|(\+))(((((((([0-9])+)?(\.)?(([0-1])+(#)*)))|(((([0-1])'. |
14171 |
+ '+(#)*)(\.)?(#)*))|(((([0-1])+(#)*)\\/(([0-1])+(#)*))))(((([sl'. |
14172 |
+ '])|([def]))((((-)|(\+)))?([0-9])+)))?))|((((inf\.)|(nan\.))[0'. |
14173 |
+ 'f])))i))|((((((((-)|(\+)))?(((((([0-9])+)?(\.)?(([0-1])+(#)*)'. |
14174 |
+ '))|(((([0-1])+(#)*)(\.)?(#)*))|(((([0-1])+(#)*)\\/(([0-1])+(#'. |
14175 |
+ ')*))))(((([sl])|([def]))((((-)|(\+)))?([0-9])+)))?)))|((((-)|'. |
14176 |
+ '(\+))(((inf\.)|(nan\.))[0f]))))@((((((-)|(\+)))?(((((([0-9])+'. |
14177 |
+ ')?(\.)?(([0-1])+(#)*)))|(((([0-1])+(#)*)(\.)?(#)*))|(((([0-1]'. |
14178 |
+ ')+(#)*)\\/(([0-1])+(#)*))))(((([sl])|([def]))((((-)|(\+)))?(['. |
14179 |
+ '0-9])+)))?)))|((((-)|(\+))(((inf\.)|(nan\.))[0f]))))))))|(((('. |
14180 |
+ '((-)|(\+)))?(([0-9])+\\/([0-9])+))((-)|(\+))(([0-9])+\\/([0-9'. |
14181 |
+ '])+)i))|(((((-)|(\+)))?(([0-9])+\\/([0-9])+)))|(((((((-)|(\+)'. |
14182 |
+ '))?(((((([0-9])+)?(\.)?(([0-1])+(#)*)))|(((([0-1])+(#)*)(\.)?'. |
14183 |
+ '(#)*))|(((([0-1])+(#)*)\\/(([0-1])+(#)*))))(((([sl])|([def]))'. |
14184 |
+ '((((-)|(\+)))?([0-9])+)))?)))|((((-)|(\+))(((inf\.)|(nan\.))['. |
14185 |
+ '0f])))))|(((((-)|(\+)))?([0-9])+))))', |
14186 |
+ 4 => '((((#d#e)|(#e#d)|(#d#i)|(#i#d)|(#e)|(#i)|(#d)))?((((((((((((-'. |
14187 |
+ ')|(\+)))?(((((([0-9])+)?(\.)?(([0-9])+(#)*)))|(((([0-9])+(#)*'. |
14188 |
+ ')(\.)?(#)*))|(((([0-9])+(#)*)\\/(([0-9])+(#)*))))(((([sl])|(['. |
14189 |
+ 'def]))((((-)|(\+)))?([0-9])+)))?)))|((((-)|(\+))(((inf\.)|(na'. |
14190 |
+ 'n\.))[0f])))))?((-)|(\+))(((((((([0-9])+)?(\.)?(([0-9])+(#)*)'. |
14191 |
+ '))|(((([0-9])+(#)*)(\.)?(#)*))|(((([0-9])+(#)*)\\/(([0-9])+(#'. |
14192 |
+ ')*))))(((([sl])|([def]))((((-)|(\+)))?([0-9])+)))?))|((((inf'. |
14193 |
+ '\.)|(nan\.))[0f])))i))|((((((((-)|(\+)))?(((((([0-9])+)?(\.)?'. |
14194 |
+ '(([0-9])+(#)*)))|(((([0-9])+(#)*)(\.)?(#)*))|(((([0-9])+(#)*)'. |
14195 |
+ '\\/(([0-9])+(#)*))))(((([sl])|([def]))((((-)|(\+)))?([0-9])+)'. |
14196 |
+ '))?)))|((((-)|(\+))(((inf\.)|(nan\.))[0f]))))@((((((-)|(\+)))'. |
14197 |
+ '?(((((([0-9])+)?(\.)?(([0-9])+(#)*)))|(((([0-9])+(#)*)(\.)?(#'. |
14198 |
+ ')*))|(((([0-9])+(#)*)\\/(([0-9])+(#)*))))(((([sl])|([def]))(('. |
14199 |
+ '((-)|(\+)))?([0-9])+)))?)))|((((-)|(\+))(((inf\.)|(nan\.))[0f'. |
14200 |
+ ']))))))))|((((((-)|(\+)))?(([0-9])+\\/([0-9])+))((-)|(\+))((['. |
14201 |
+ '0-9])+\\/([0-9])+)i))|(((((-)|(\+)))?(([0-9])+\\/([0-9])+)))|'. |
14202 |
+ '(((((((-)|(\+)))?(((((([0-9])+)?(\.)?(([0-9])+(#)*)))|(((([0-'. |
14203 |
+ '9])+(#)*)(\.)?(#)*))|(((([0-9])+(#)*)\\/(([0-9])+(#)*))))(((('. |
14204 |
+ '[sl])|([def]))((((-)|(\+)))?([0-9])+)))?)))|((((-)|(\+))(((in'. |
14205 |
+ 'f\.)|(nan\.))[0f])))))|(((((-)|(\+)))?([0-9])+))))', |
14206 |
+ ), |
14207 |
+ 'STYLES' => array( |
14208 |
+ 'KEYWORDS' => array( |
14209 |
+ 1 => 'color: blue;', |
14210 |
+ 2 => 'color: rgb(34, 34, 139);', |
14211 |
+ 3 => 'color: blue;', |
14212 |
+ 4 => 'color: rgb(34, 34, 139);', |
14213 |
+ ), |
14214 |
+ 'COMMENTS' => array( |
14215 |
+ 1 => 'color: rgb(194, 116, 31);', |
14216 |
+ 'MULTI' => 'color: rgb(194, 116, 31);', |
14217 |
+ ), |
14218 |
+ 'ESCAPE_CHAR' => array( |
14219 |
+ 0 => '', |
14220 |
+ ), |
14221 |
+ 'BRACKETS' => array( |
14222 |
+ 0 => 'color: rgb(132, 60,36);', |
14223 |
+ ), |
14224 |
+ 'STRINGS' => array( |
14225 |
+ 0 => 'color: rgb(34, 139, 34);', |
14226 |
+ ), |
14227 |
+ 'NUMBERS' => array( |
14228 |
+ 0 => 'color: rgb(34, 139, 34);', |
14229 |
+ 1 => 'color: rgb(34, 139, 34);', |
14230 |
+ 2 => 'color: rgb(34, 139, 34);', |
14231 |
+ 3 => 'color: rgb(34, 139, 34);', |
14232 |
+ 4 => 'color: rgb(34, 139, 34);', |
14233 |
+ ), |
14234 |
+ 'METHODS' => array( |
14235 |
+ 0 => 'color: #202020;', |
14236 |
+ ), |
14237 |
+ 'SYMBOLS' => array( |
14238 |
+ 0 => 'color: rgb(132, 60,36);', |
14239 |
+ ), |
14240 |
+ 'REGEXPS' => array( |
14241 |
+ 1 => 'color: rgb(34, 139, 34);', |
14242 |
+ 2 => 'color: rgb(132, 60,36);', |
14243 |
+ 3 => 'color: rgb(34, 139, 34);', |
14244 |
+ ), |
14245 |
+ 'SCRIPT' => array( |
14246 |
+ ), |
14247 |
+ ), |
14248 |
+ 'URLS' => array( |
14249 |
+ 1 => 'http://docs.racket-lang.org/reference/', |
14250 |
+ 2 => 'http://docs.racket-lang.org/reference/', |
14251 |
+ 3 => 'http://docs.racket-lang.org/reference/', |
14252 |
+ 4 => 'http://docs.racket-lang.org/reference/', |
14253 |
+ ), |
14254 |
+ 'OOLANG' => false, |
14255 |
+ 'OBJECT_SPLITTERS' => array( |
14256 |
+ ), |
14257 |
+ 'REGEXPS' => array( |
14258 |
+ 1 => '#\\\\(nul|null|backspace|tab|newline|linefeed|vtab|page|retur'. |
14259 |
+ 'n|space|rubout|([0-7]{1,3})|(u[[:xdigit:]]{1,4})|(U[[:xdigit:'. |
14260 |
+ ']]{1,6})|[a-z])', |
14261 |
+ 2 => '#:[^[:space:]()[\\]{}",\']+', |
14262 |
+ 3 => '\'((\\\\ )|([^[:space:]()[\\]{}",\']))+', |
14263 |
+ ), |
14264 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
14265 |
+ 'SCRIPT_DELIMITERS' => array( |
14266 |
+ ), |
14267 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
14268 |
+ ), |
14269 |
+ 'PARSER_CONTROL' => array( |
14270 |
+ 'KEYWORDS' => array( |
14271 |
+ 'DISALLOWED_BEFORE' => '[[:space:]()[\\]{}",\']', |
14272 |
+ ), |
14273 |
+ 'ENABLE_FLAGS' => array( |
14274 |
+ 'SYMBOLS' => GESHI_MAYBE, |
14275 |
+ 'BRACKETS' => GESHI_MAYBE, |
14276 |
+ 'REGEXPS' => GESHI_MAYBE, |
14277 |
+ 'ESCAPE_CHAR' => GESHI_MAYBE, |
14278 |
+ ) |
14279 |
+ ) |
14280 |
+); |
14281 |
|
14282 |
diff --git a/plugins/wp-syntax/geshi/geshi/rails.php b/plugins/wp-syntax/geshi/geshi/rails.php |
14283 |
index 65ddee8..2838719 100644 |
14284 |
--- a/plugins/wp-syntax/geshi/geshi/rails.php |
14285 |
+++ b/plugins/wp-syntax/geshi/geshi/rails.php |
14286 |
@@ -4,7 +4,7 @@ |
14287 |
* --------- |
14288 |
* Author: Moises Deniz |
14289 |
* Copyright: (c) 2005 Moises Deniz |
14290 |
- * Release Version: 1.0.8.11 |
14291 |
+ * Release Version: 1.0.8.12 |
14292 |
* Date Started: 2007/03/21 |
14293 |
* |
14294 |
* Ruby (with Ruby on Rails Framework) language file for GeSHi. |
14295 |
@@ -399,8 +399,6 @@ $language_data = array ( |
14296 |
) |
14297 |
), |
14298 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
14299 |
- 0 => true, |
14300 |
+ 0 => true |
14301 |
) |
14302 |
); |
14303 |
- |
14304 |
-?> |
14305 |
|
14306 |
diff --git a/plugins/wp-syntax/geshi/geshi/vb.php b/plugins/wp-syntax/geshi/geshi/rbs.php |
14307 |
similarity index 52% |
14308 |
copy from plugins/wp-syntax/geshi/geshi/vb.php |
14309 |
copy to plugins/wp-syntax/geshi/geshi/rbs.php |
14310 |
index 528e7cd..02c2fcf 100644 |
14311 |
--- a/plugins/wp-syntax/geshi/geshi/vb.php |
14312 |
+++ b/plugins/wp-syntax/geshi/geshi/rbs.php |
14313 |
@@ -1,28 +1,23 @@ |
14314 |
<?php |
14315 |
/************************************************************************************* |
14316 |
- * vb.php |
14317 |
+ * rbs.php |
14318 |
* ------ |
14319 |
- * Author: Roberto Rossi (rsoftware@××××××××××.org) |
14320 |
- * Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), |
14321 |
- * Nigel McNie (http://qbnz.com/highlighter) |
14322 |
- * Release Version: 1.0.8.11 |
14323 |
- * Date Started: 2004/08/30 |
14324 |
+ * Author: Deng Wen Gang (deng@××××××.com) |
14325 |
+ * Copyright: (c) 2013 Deng Wen Gang |
14326 |
+ * Release Version: 1.0.8.12 |
14327 |
+ * Date Started: 2013/01/15 |
14328 |
* |
14329 |
- * Visual Basic language file for GeSHi. |
14330 |
+ * RBScript language file for GeSHi. |
14331 |
+ * |
14332 |
+ * RBScript official website: http://docs.realsoftware.com/index.php/Rbscript |
14333 |
* |
14334 |
* CHANGES |
14335 |
* ------- |
14336 |
- * 2008/08/27 (1.0.8.1) |
14337 |
- * - changed keyword list for better Visual Studio compliance |
14338 |
- * 2008/08/26 (1.0.8.1) |
14339 |
- * - Fixed multiline comments |
14340 |
- * 2004/11/27 (1.0.1) |
14341 |
- * - Added support for multiple object splitters |
14342 |
- * 2004/08/30 (1.0.0) |
14343 |
+ * 2013/01/15 (1.0.0) |
14344 |
* - First Release |
14345 |
* |
14346 |
- * TODO (updated 2004/11/27) |
14347 |
- * ------------------------- |
14348 |
+ * TODO |
14349 |
+ * ---- |
14350 |
* |
14351 |
************************************************************************************* |
14352 |
* |
14353 |
@@ -45,47 +40,113 @@ |
14354 |
************************************************************************************/ |
14355 |
|
14356 |
$language_data = array ( |
14357 |
- 'LANG_NAME' => 'Visual Basic', |
14358 |
- 'COMMENT_SINGLE' => array(), |
14359 |
+ 'LANG_NAME' => 'RBScript', |
14360 |
+ 'COMMENT_SINGLE' => array( 1 => '//', 2 => "'" ), |
14361 |
'COMMENT_MULTI' => array(), |
14362 |
'COMMENT_REGEXP' => array( |
14363 |
- // Comments (either single or multiline with _ |
14364 |
- 1 => '/\'.*(?<! _)\n/sU', |
14365 |
+ 3 => '/REM\s.*$/im', |
14366 |
+ 4 => '/&b[01]+/', |
14367 |
+ 5 => '/&o[0-7]+/', |
14368 |
+ 6 => '/&h[a-f0-9]+/i', |
14369 |
+ 7 => '/&c[a-f0-9]+/i', |
14370 |
+ 8 => '/&u[a-f0-9]+/i', |
14371 |
), |
14372 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
14373 |
'QUOTEMARKS' => array('"'), |
14374 |
'ESCAPE_CHAR' => '', |
14375 |
'KEYWORDS' => array( |
14376 |
1 => array( |
14377 |
- 'Binary', 'Boolean', 'Byte', 'Currency', 'Date', 'Decimal', 'Double', |
14378 |
- 'String', 'Enum', 'Integer', 'Long', 'Object', 'Single', 'Variant' |
14379 |
+ 'Int8', 'Int16', 'Int32', 'Int64', 'Uint8', 'Uint16', 'Uint32', 'Uint64', 'Byte', 'Integer', |
14380 |
+ 'Single', 'Double', 'Boolean', 'String', 'Color', 'Object', 'Variant' |
14381 |
), |
14382 |
2 => array( |
14383 |
- 'CreateObject', 'GetObject', 'New', 'Option', 'Function', |
14384 |
- 'Call', 'Private', 'Public', 'Sub', 'Explicit', 'Compare', 'Exit' |
14385 |
+ 'Private', 'Public', 'Protected', |
14386 |
+ 'Sub', 'Function', 'Delegate', 'Exception', |
14387 |
), |
14388 |
3 => array( |
14389 |
- 'And', 'Case', 'Do', 'Each', 'Else', 'ElseIf', 'For', |
14390 |
- 'Goto', 'If', 'Is', 'Loop', 'Next', 'Not', 'Or', 'Select', 'Step', |
14391 |
- 'Then', 'To', 'Until', 'While', 'With', 'Xor', 'WithEvents', |
14392 |
- 'DoEvents', 'Close', 'Like', 'In', 'End' |
14393 |
+ 'IsA', |
14394 |
+ 'And', 'Or', 'Not', 'Xor', |
14395 |
+ 'If', 'Then', 'Else', 'ElseIf', |
14396 |
+ 'Select', 'Case', |
14397 |
+ 'For', 'Each', 'In', 'To', 'Step', 'Next', |
14398 |
+ 'Do', 'Loop', 'Until', |
14399 |
+ 'While', 'Wend', |
14400 |
+ 'Continue', 'Exit', 'Goto', 'End', |
14401 |
), |
14402 |
4 => array( |
14403 |
- 'As', 'Dim', 'Get', 'Set', 'ReDim', 'Error', |
14404 |
- 'Resume', 'Declare', 'Let', 'ByRef', 'ByVal', |
14405 |
- 'Optional', 'Property', 'Control', 'UBound', 'Mod', |
14406 |
- 'GoSub', 'Implements', 'Input', 'LBound', 'Static', 'Stop', |
14407 |
- 'Type', 'TypeOf', 'On', 'Open', 'Output', 'ParamArray', |
14408 |
- 'Preserve', 'Print', 'RaiseEvent', 'Random', 'Line' |
14409 |
+ 'Const', 'Static', |
14410 |
+ 'Dim', 'As', 'Redim', |
14411 |
+ 'Me', 'Self', 'Super', 'Extends', 'Implements', |
14412 |
+ 'ByRef', 'ByVal', 'Assigns', 'ParamArray', |
14413 |
+ 'Mod', |
14414 |
+ 'Raise', |
14415 |
), |
14416 |
5 => array( |
14417 |
- 'Nothing', 'False', 'True', 'Null', 'Empty' |
14418 |
+ 'False', 'True', 'Nil' |
14419 |
), |
14420 |
6 => array( |
14421 |
- 'ErrorHandler','ExitProc', 'PublishReport' |
14422 |
+ 'Abs', |
14423 |
+ 'Acos', |
14424 |
+ 'Asc', |
14425 |
+ 'AscB', |
14426 |
+ 'Asin', |
14427 |
+ 'Atan', |
14428 |
+ 'Atan2', |
14429 |
+ 'CDbl', |
14430 |
+ 'Ceil', |
14431 |
+ 'Chr', |
14432 |
+ 'ChrB', |
14433 |
+ 'CMY', |
14434 |
+ 'Cos', |
14435 |
+ 'CountFields', |
14436 |
+ 'CStr', |
14437 |
+ 'Exp', |
14438 |
+ 'Floor', |
14439 |
+ 'Format', |
14440 |
+ 'Hex', |
14441 |
+ 'HSV', |
14442 |
+ 'InStr', |
14443 |
+ 'InStrB', |
14444 |
+ 'Left', |
14445 |
+ 'LeftB', |
14446 |
+ 'Len', |
14447 |
+ 'LenB', |
14448 |
+ 'Log', |
14449 |
+ 'Lowercase', |
14450 |
+ 'LTrim', |
14451 |
+ 'Max', |
14452 |
+ 'Microseconds', |
14453 |
+ 'Mid', |
14454 |
+ 'MidB', |
14455 |
+ 'Min', |
14456 |
+ 'NthField', |
14457 |
+ 'Oct', |
14458 |
+ 'Pow', |
14459 |
+ 'Replace', |
14460 |
+ 'ReplaceB', |
14461 |
+ 'ReplaceAll', |
14462 |
+ 'ReplaceAllB', |
14463 |
+ 'RGB', |
14464 |
+ 'Right', |
14465 |
+ 'RightB', |
14466 |
+ 'Rnd', |
14467 |
+ 'Round', |
14468 |
+ 'RTrim', |
14469 |
+ 'Sin', |
14470 |
+ 'Sqrt', |
14471 |
+ 'Str', |
14472 |
+ 'StrComp', |
14473 |
+ 'Tan', |
14474 |
+ 'Ticks', |
14475 |
+ 'Titlecase', |
14476 |
+ 'Trim', |
14477 |
+ 'UBound', |
14478 |
+ 'Uppercase', |
14479 |
+ 'Val', |
14480 |
), |
14481 |
), |
14482 |
'SYMBOLS' => array( |
14483 |
+ '+', '-', '*', '/', '\\', '^', '<', '>', '=', '<>', '&' |
14484 |
), |
14485 |
'CASE_SENSITIVE' => array( |
14486 |
GESHI_COMMENTS => false, |
14487 |
@@ -106,7 +167,15 @@ $language_data = array ( |
14488 |
6 => 'color: #3EA99F; font-weight: bold;' |
14489 |
), |
14490 |
'COMMENTS' => array( |
14491 |
- 1 => 'color: #008000;' |
14492 |
+ 1 => 'color: #008000;', |
14493 |
+ 2 => 'color: #008000;', |
14494 |
+ 3 => 'color: #008000;', |
14495 |
+ |
14496 |
+ 4 => 'color: #800000;', |
14497 |
+ 5 => 'color: #800000;', |
14498 |
+ 6 => 'color: #800000;', |
14499 |
+ 7 => 'color: #800000;', |
14500 |
+ 8 => 'color: #800000;', |
14501 |
), |
14502 |
'BRACKETS' => array( |
14503 |
), |
14504 |
@@ -153,5 +222,3 @@ $language_data = array ( |
14505 |
) |
14506 |
) |
14507 |
); |
14508 |
- |
14509 |
-?> |
14510 |
\ No newline at end of file |
14511 |
|
14512 |
diff --git a/plugins/wp-syntax/geshi/geshi/rebol.php b/plugins/wp-syntax/geshi/geshi/rebol.php |
14513 |
index ea86c21..7acb736 100644 |
14514 |
--- a/plugins/wp-syntax/geshi/geshi/rebol.php |
14515 |
+++ b/plugins/wp-syntax/geshi/geshi/rebol.php |
14516 |
@@ -4,7 +4,7 @@ |
14517 |
* -------- |
14518 |
* Author: Lecanu Guillaume (Guillaume@×××.fr) |
14519 |
* Copyright: (c) 2004-2005 Lecanu Guillaume (Guillaume@×××.fr) |
14520 |
- * Release Version: 1.0.8.11 |
14521 |
+ * Release Version: 1.0.8.12 |
14522 |
* Date Started: 2004/12/22 |
14523 |
* |
14524 |
* Rebol language file for GeSHi. |
14525 |
@@ -192,5 +192,3 @@ $language_data = array ( |
14526 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
14527 |
) |
14528 |
); |
14529 |
- |
14530 |
-?> |
14531 |
\ No newline at end of file |
14532 |
|
14533 |
diff --git a/plugins/wp-syntax/geshi/geshi/reg.php b/plugins/wp-syntax/geshi/geshi/reg.php |
14534 |
index 157b2bd..f297839 100644 |
14535 |
--- a/plugins/wp-syntax/geshi/geshi/reg.php |
14536 |
+++ b/plugins/wp-syntax/geshi/geshi/reg.php |
14537 |
@@ -4,7 +4,7 @@ |
14538 |
* ------- |
14539 |
* Author: Sean Hanna (smokingrope@×××××.com) |
14540 |
* Copyright: (c) 2006 Sean Hanna |
14541 |
- * Release Version: 1.0.8.11 |
14542 |
+ * Release Version: 1.0.8.12 |
14543 |
* Date Started: 03/15/2006 |
14544 |
* |
14545 |
* Microsoft Registry Editor language file for GeSHi. |
14546 |
@@ -229,5 +229,3 @@ $language_data = array ( |
14547 |
) |
14548 |
) |
14549 |
); |
14550 |
- |
14551 |
-?> |
14552 |
|
14553 |
diff --git a/plugins/wp-syntax/geshi/geshi/rexx.php b/plugins/wp-syntax/geshi/geshi/rexx.php |
14554 |
index b3cb932..86f44a2 100644 |
14555 |
--- a/plugins/wp-syntax/geshi/geshi/rexx.php |
14556 |
+++ b/plugins/wp-syntax/geshi/geshi/rexx.php |
14557 |
@@ -6,7 +6,7 @@ |
14558 |
* Contributors: |
14559 |
* - Walter Pachl (pachl@××××××.at) |
14560 |
* Copyright: (c) 2008 Jon Wolfers, (c) 2012 Walter Pachl |
14561 |
- * Release Version: 1.0.8.11 |
14562 |
+ * Release Version: 1.0.8.12 |
14563 |
* Date Started: 2008/01/07 |
14564 |
* |
14565 |
* Rexx language file for GeSHi. |
14566 |
@@ -158,5 +158,3 @@ $language_data = array ( |
14567 |
), |
14568 |
'TAB_WIDTH' => 4 |
14569 |
); |
14570 |
- |
14571 |
-?> |
14572 |
|
14573 |
diff --git a/plugins/wp-syntax/geshi/geshi/robots.php b/plugins/wp-syntax/geshi/geshi/robots.php |
14574 |
index 0b75f71..8b1fb23 100644 |
14575 |
--- a/plugins/wp-syntax/geshi/geshi/robots.php |
14576 |
+++ b/plugins/wp-syntax/geshi/geshi/robots.php |
14577 |
@@ -4,7 +4,7 @@ |
14578 |
* -------- |
14579 |
* Author: Christian Lescuyer (cl@××××××××.net) |
14580 |
* Copyright: (c) 2006 Christian Lescuyer http://xtian.goelette.info |
14581 |
- * Release Version: 1.0.8.11 |
14582 |
+ * Release Version: 1.0.8.12 |
14583 |
* Date Started: 2006/02/17 |
14584 |
* |
14585 |
* robots.txt language file for GeSHi. |
14586 |
@@ -96,5 +96,3 @@ $language_data = array ( |
14587 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
14588 |
) |
14589 |
); |
14590 |
- |
14591 |
-?> |
14592 |
\ No newline at end of file |
14593 |
|
14594 |
diff --git a/plugins/wp-syntax/geshi/geshi/rpmspec.php b/plugins/wp-syntax/geshi/geshi/rpmspec.php |
14595 |
index fd6a561..3ef70e6 100644 |
14596 |
--- a/plugins/wp-syntax/geshi/geshi/rpmspec.php |
14597 |
+++ b/plugins/wp-syntax/geshi/geshi/rpmspec.php |
14598 |
@@ -4,7 +4,7 @@ |
14599 |
* --------------------------------- |
14600 |
* Author: Paul Grinberg (gri6507 TA unity-linux TOD org) |
14601 |
* Copyright: (c) 2010 Paul Grinberg |
14602 |
- * Release Version: 1.0.8.11 |
14603 |
+ * Release Version: 1.0.8.12 |
14604 |
* Date Started: 2010/04/27 |
14605 |
* |
14606 |
* RPM Spec language file for GeSHi. |
14607 |
@@ -129,5 +129,3 @@ $language_data = array ( |
14608 |
'STRICT_MODE_APPLIES' => GESHI_NEVER, |
14609 |
'SCRIPT_DELIMITERS' => array(), |
14610 |
); |
14611 |
- |
14612 |
-?> |
14613 |
\ No newline at end of file |
14614 |
|
14615 |
diff --git a/plugins/wp-syntax/geshi/geshi/rsplus.php b/plugins/wp-syntax/geshi/geshi/rsplus.php |
14616 |
index e8a4e72..7b15f51 100644 |
14617 |
--- a/plugins/wp-syntax/geshi/geshi/rsplus.php |
14618 |
+++ b/plugins/wp-syntax/geshi/geshi/rsplus.php |
14619 |
@@ -7,7 +7,7 @@ |
14620 |
* - Benilton Carvalho (beniltoncarvalho@×××××.com) |
14621 |
* - Fernando Henrique Ferraz Pereira da Rosa (mentus@×××××.com) |
14622 |
* Copyright: (c) 2009 Ron Fredericks (http://www.LectureMaker.com) |
14623 |
- * Release Version: 1.0.8.11 |
14624 |
+ * Release Version: 1.0.8.12 |
14625 |
* Date Started: 2009/03/28 |
14626 |
* |
14627 |
* R language file for GeSHi. |
14628 |
@@ -479,5 +479,3 @@ $language_data = array ( |
14629 |
) |
14630 |
) |
14631 |
); |
14632 |
- |
14633 |
-?> |
14634 |
\ No newline at end of file |
14635 |
|
14636 |
diff --git a/plugins/wp-syntax/geshi/geshi/ruby.php b/plugins/wp-syntax/geshi/geshi/ruby.php |
14637 |
index f6eb1b1..8c96a23 100644 |
14638 |
--- a/plugins/wp-syntax/geshi/geshi/ruby.php |
14639 |
+++ b/plugins/wp-syntax/geshi/geshi/ruby.php |
14640 |
@@ -4,7 +4,7 @@ |
14641 |
* -------- |
14642 |
* Author: Moises Deniz |
14643 |
* Copyright: (c) 2007 Moises Deniz |
14644 |
- * Release Version: 1.0.8.11 |
14645 |
+ * Release Version: 1.0.8.12 |
14646 |
* Date Started: 2007/03/21 |
14647 |
* |
14648 |
* Ruby language file for GeSHi. |
14649 |
@@ -227,5 +227,3 @@ $language_data = array ( |
14650 |
), |
14651 |
'TAB_WIDTH' => 2 |
14652 |
); |
14653 |
- |
14654 |
-?> |
14655 |
\ No newline at end of file |
14656 |
|
14657 |
diff --git a/plugins/wp-syntax/geshi/geshi/rust.php b/plugins/wp-syntax/geshi/geshi/rust.php |
14658 |
new file mode 100644 |
14659 |
index 0000000..c213ab4 |
14660 |
--- /dev/null |
14661 |
+++ b/plugins/wp-syntax/geshi/geshi/rust.php |
14662 |
@@ -0,0 +1,235 @@ |
14663 |
+<?php |
14664 |
+/************************************************************************************* |
14665 |
+ * rust.php |
14666 |
+ * -------- |
14667 |
+ * Author: Edward Hart (edward.dan.hart@×××××.com) |
14668 |
+ * Copyright: (c) 2013 Edward Hart |
14669 |
+ * Release Version: 1.0.8.12 |
14670 |
+ * Date Started: 2013/10/20 |
14671 |
+ * |
14672 |
+ * Rust language file for GeSHi. |
14673 |
+ * |
14674 |
+ * CHANGES |
14675 |
+ * ------- |
14676 |
+ * 2014/03/18 |
14677 |
+ * - Added support for raw strings |
14678 |
+ * - Color symbols |
14679 |
+ * 2013/10/20 |
14680 |
+ * - First Release |
14681 |
+ * |
14682 |
+ * TODO (updated 2013/10/20) |
14683 |
+ * ------------------------- |
14684 |
+ * |
14685 |
+ ************************************************************************************* |
14686 |
+ * |
14687 |
+ * This file is part of GeSHi. |
14688 |
+ * |
14689 |
+ * GeSHi is free software; you can redistribute it and/or modify |
14690 |
+ * it under the terms of the GNU General Public License as published by |
14691 |
+ * the Free Software Foundation; either version 2 of the License, or |
14692 |
+ * (at your option) any later version. |
14693 |
+ * |
14694 |
+ * GeSHi is distributed in the hope that it will be useful, |
14695 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
14696 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
14697 |
+ * GNU General Public License for more details. |
14698 |
+ * |
14699 |
+ * You should have received a copy of the GNU General Public License |
14700 |
+ * along with GeSHi; if not, write to the Free Software |
14701 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
14702 |
+ * |
14703 |
+ ************************************************************************************/ |
14704 |
+ |
14705 |
+$language_data = array( |
14706 |
+ 'LANG_NAME' => 'Rust', |
14707 |
+ |
14708 |
+ 'COMMENT_SINGLE' => array('//'), |
14709 |
+ 'COMMENT_MULTI' => array('/*' => '*/'), |
14710 |
+ 'COMMENT_REGEXP' => array( |
14711 |
+ // Raw strings |
14712 |
+ 1 => '/\\br(\\#*)".*?"\\1/' |
14713 |
+ ), |
14714 |
+ |
14715 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
14716 |
+ 'QUOTEMARKS' => array("'", '"'), |
14717 |
+ 'ESCAPE_CHAR' => '', |
14718 |
+ 'ESCAPE_REGEXP' => array( |
14719 |
+ //Simple Single Char Escapes |
14720 |
+ 1 => "#\\\\[\\\\nrt\'\"?\n]#i", |
14721 |
+ //Hexadecimal Char Specs |
14722 |
+ 2 => "#\\\\x[\da-fA-F]{2}#", |
14723 |
+ //Hexadecimal Char Specs |
14724 |
+ 3 => "#\\\\u[\da-fA-F]{4}#", |
14725 |
+ //Hexadecimal Char Specs |
14726 |
+ 4 => "#\\\\U[\da-fA-F]{8}#", |
14727 |
+ //Octal Char Specs |
14728 |
+ 5 => "#\\\\[0-7]{1,3}#" |
14729 |
+ ), |
14730 |
+ 'NUMBERS' => |
14731 |
+ GESHI_NUMBER_INT_BASIC | GESHI_NUMBER_INT_CSTYLE | GESHI_NUMBER_BIN_PREFIX_0B | |
14732 |
+ GESHI_NUMBER_HEX_PREFIX | GESHI_NUMBER_FLT_NONSCI | |
14733 |
+ GESHI_NUMBER_FLT_NONSCI_F | GESHI_NUMBER_FLT_SCI_SHORT | GESHI_NUMBER_FLT_SCI_ZERO, |
14734 |
+ |
14735 |
+ 'KEYWORDS' => array( |
14736 |
+ // Keywords |
14737 |
+ 1 => array( |
14738 |
+ 'alt', 'as', 'assert', 'break', 'const', 'continue', 'copy', 'do', |
14739 |
+ 'else', 'enum', 'extern', 'fn', 'for', 'if', |
14740 |
+ 'impl', 'in', 'let', 'log', 'loop', 'match', 'mod', 'mut', 'of', |
14741 |
+ 'priv', 'pub', 'ref', 'return', 'self', 'static', 'struct', 'super', |
14742 |
+ 'to', 'trait', 'type', 'unsafe', 'use', 'with', 'while' |
14743 |
+ ), |
14744 |
+ // Boolean values |
14745 |
+ 2 => array( 'true', 'false' ), |
14746 |
+ // Structs and built-in types |
14747 |
+ 3 => array( |
14748 |
+ 'u8', 'i8', |
14749 |
+ 'u16', 'i16', |
14750 |
+ 'u32', 'i32', |
14751 |
+ 'u64', 'i64', |
14752 |
+ 'f32', 'f64', |
14753 |
+ 'int', 'uint', |
14754 |
+ 'float', |
14755 |
+ 'bool', |
14756 |
+ 'str', 'char', |
14757 |
+ 'Argument', 'AsyncWatcher', 'BorrowRecord', 'BufReader', |
14758 |
+ 'BufWriter', 'BufferedReader', 'BufferedStream', 'BufferedWriter', |
14759 |
+ 'ByRef', 'ByteIterator', 'CFile', 'CString', 'CStringIterator', |
14760 |
+ 'Cell', 'Chain', 'Chan', 'ChanOne', 'CharIterator', |
14761 |
+ 'CharOffsetIterator', 'CharRange', 'CharSplitIterator', |
14762 |
+ 'CharSplitNIterator', 'ChunkIter', 'Condition', 'ConnectRequest', |
14763 |
+ 'Coroutine', 'Counter', 'CrateMap', 'Cycle', 'DeflateWriter', |
14764 |
+ 'Display', 'ElementSwaps', 'Enumerate', 'Exp', 'Exp1', 'FileDesc', |
14765 |
+ 'FileReader', 'FileStat', 'FileStream', 'FileWriter', 'Filter', |
14766 |
+ 'FilterMap', 'FlatMap', 'FormatSpec', 'Formatter', 'FsRequest', |
14767 |
+ 'Fuse', 'GarbageCollector', 'GetAddrInfoRequest', 'Handle', |
14768 |
+ 'HashMap', 'HashMapIterator', 'HashMapMoveIterator', |
14769 |
+ 'HashMapMutIterator', 'HashSet', 'HashSetIterator', |
14770 |
+ 'HashSetMoveIterator', 'Hint', 'IdleWatcher', 'InflateReader', |
14771 |
+ 'Info', 'Inspect', 'Invert', 'IoError', 'Isaac64Rng', 'IsaacRng', |
14772 |
+ 'LineBufferedWriter', 'Listener', 'LocalHeap', 'LocalStorage', |
14773 |
+ 'Loop', 'Map', 'MatchesIndexIterator', 'MemReader', 'MemWriter', |
14774 |
+ 'MemoryMap', 'ModEntry', 'MoveIterator', 'MovePtrAdaptor', |
14775 |
+ 'MoveRevIterator', 'NoOpRunnable', 'NonCopyable', 'Normal', |
14776 |
+ 'OSRng', 'OptionIterator', 'Parser', 'Path', 'Peekable', |
14777 |
+ 'Permutations', 'Pipe', 'PipeStream', 'PluralArm', 'Port', |
14778 |
+ 'PortOne', 'Process', 'ProcessConfig', 'ProcessOptions', |
14779 |
+ 'ProcessOutput', 'RC', 'RSplitIterator', 'RandSample', 'Range', |
14780 |
+ 'RangeInclusive', 'RangeStep', 'RangeStepInclusive', 'Rc', 'RcMut', |
14781 |
+ 'ReaderRng', 'Repeat', 'ReprVisitor', 'RequestData', |
14782 |
+ 'ReseedWithDefault', 'ReseedingRng', 'Scan', 'SchedOpts', |
14783 |
+ 'SelectArm', 'SharedChan', 'SharedPort', 'SignalWatcher', |
14784 |
+ 'SipState', 'Skip', 'SkipWhile', 'SocketAddr', 'SplitIterator', |
14785 |
+ 'StackPool', 'StackSegment', 'StandardNormal', 'StdErrLogger', |
14786 |
+ 'StdIn', 'StdOut', 'StdReader', 'StdRng', 'StdWriter', |
14787 |
+ 'StrSplitIterator', 'StreamWatcher', 'TTY', 'Take', 'TakeWhile', |
14788 |
+ 'Task', 'TaskBuilder', 'TaskOpts', 'TcpAcceptor', 'TcpListener', |
14789 |
+ 'TcpStream', 'TcpWatcher', 'Timer', 'TimerWatcher', 'TrieMap', |
14790 |
+ 'TrieMapIterator', 'TrieSet', 'TrieSetIterator', 'Tube', |
14791 |
+ 'UdpSendRequest', 'UdpSocket', 'UdpStream', 'UdpWatcher', 'Unfold', |
14792 |
+ 'UnixAcceptor', 'UnixListener', 'UnixStream', 'Unwinder', |
14793 |
+ 'UvAddrInfo', 'UvError', 'UvEventLoop', 'UvFileStream', |
14794 |
+ 'UvIoFactory', 'UvPausibleIdleCallback', 'UvPipeStream', |
14795 |
+ 'UvProcess', 'UvRemoteCallback', 'UvSignal', 'UvTTY', |
14796 |
+ 'UvTcpAcceptor', 'UvTcpListener', 'UvTcpStream', 'UvTimer', |
14797 |
+ 'UvUdpSocket', 'UvUnboundPipe', 'UvUnixAcceptor', 'UvUnixListener', |
14798 |
+ 'VecIterator', 'VecMutIterator', 'Weighted', 'WeightedChoice', |
14799 |
+ 'WindowIter', 'WriteRequest', 'XorShiftRng', 'Zip', 'addrinfo', |
14800 |
+ 'uv_buf_t', 'uv_err_data', 'uv_process_options_t', 'uv_stat_t', |
14801 |
+ 'uv_stdio_container_t', 'uv_timespec_t' |
14802 |
+ ), |
14803 |
+ // Enums |
14804 |
+ 4 => array( |
14805 |
+ 'Alignment', 'Count', 'Either', 'ExponentFormat', 'FPCategory', |
14806 |
+ 'FileAccess', 'FileMode', 'Flag', 'IoErrorKind', 'IpAddr', |
14807 |
+ 'KeyValue', 'MapError', 'MapOption', 'MemoryMapKind', 'Method', |
14808 |
+ 'NullByteResolution', 'Option', 'Ordering', 'PathPrefix', 'Piece', |
14809 |
+ 'PluralKeyword', 'Position', 'Protocol', 'Result', 'SchedHome', |
14810 |
+ 'SchedMode', 'SeekStyle', 'SendStr', 'SignFormat', |
14811 |
+ 'SignificantDigits', 'Signum', 'SocketType', 'StdioContainer', |
14812 |
+ 'TaskResult', 'TaskType', 'UvSocketAddr', 'Void', 'uv_handle_type', |
14813 |
+ 'uv_membership', 'uv_req_type' |
14814 |
+ ) |
14815 |
+ ), |
14816 |
+ 'SYMBOLS' => array( |
14817 |
+ '(', ')', '{', '}', '[', ']', |
14818 |
+ '+', '-', '*', '/', '%', |
14819 |
+ '&', '|', '^', '!', '<', '>', '~', '@', |
14820 |
+ ':', |
14821 |
+ ';', ',', |
14822 |
+ '=' |
14823 |
+ ), |
14824 |
+ |
14825 |
+ 'CASE_SENSITIVE' => array( |
14826 |
+ GESHI_COMMENTS => false, |
14827 |
+ 1 => true, |
14828 |
+ 2 => true, |
14829 |
+ 3 => true, |
14830 |
+ 4 => true |
14831 |
+ ), |
14832 |
+ |
14833 |
+ 'STYLES' => array( |
14834 |
+ 'KEYWORDS' => array( |
14835 |
+ 1 => 'color: #708;', |
14836 |
+ 2 => 'color: #219;', |
14837 |
+ 3 => 'color: #05a;', |
14838 |
+ 4 => 'color: #800;' |
14839 |
+ ), |
14840 |
+ 'COMMENTS' => array( |
14841 |
+ 0 => 'color: #a50; font-style: italic;', |
14842 |
+ 1 => 'color: #a11;', |
14843 |
+ 'MULTI' => 'color: #a50; font-style: italic;' |
14844 |
+ ), |
14845 |
+ 'ESCAPE_CHAR' => array( |
14846 |
+ 0 => 'color: #000099; font-weight: bold;', |
14847 |
+ 1 => 'color: #000099; font-weight: bold;', |
14848 |
+ 2 => 'color: #660099; font-weight: bold;', |
14849 |
+ 3 => 'color: #660099; font-weight: bold;', |
14850 |
+ 4 => 'color: #660099; font-weight: bold;', |
14851 |
+ 5 => 'color: #006699; font-weight: bold;', |
14852 |
+ 'HARD' => '' |
14853 |
+ ), |
14854 |
+ 'STRINGS' => array( |
14855 |
+ 0 => 'color: #a11;' |
14856 |
+ ), |
14857 |
+ 'NUMBERS' => array( |
14858 |
+ 0 => 'color: #0000dd;', |
14859 |
+ GESHI_NUMBER_BIN_PREFIX_0B => 'color: #208080;', |
14860 |
+ GESHI_NUMBER_OCT_PREFIX => 'color: #208080;', |
14861 |
+ GESHI_NUMBER_HEX_PREFIX => 'color: #208080;', |
14862 |
+ GESHI_NUMBER_FLT_SCI_SHORT => 'color:#800080;', |
14863 |
+ GESHI_NUMBER_FLT_SCI_ZERO => 'color:#800080;', |
14864 |
+ GESHI_NUMBER_FLT_NONSCI_F => 'color:#800080;', |
14865 |
+ GESHI_NUMBER_FLT_NONSCI => 'color:#800080;' |
14866 |
+ ), |
14867 |
+ 'BRACKETS' => array(''), |
14868 |
+ 'METHODS' => array( |
14869 |
+ 1 => 'color: #164;' |
14870 |
+ ), |
14871 |
+ 'SYMBOLS' => array( |
14872 |
+ 0 => 'color: #339933;' |
14873 |
+ ), |
14874 |
+ 'REGEXPS' => array( |
14875 |
+ ), |
14876 |
+ 'SCRIPT' => array( |
14877 |
+ ) |
14878 |
+ ), |
14879 |
+ 'URLS' => array( |
14880 |
+ 1 => '', |
14881 |
+ 2 => '', |
14882 |
+ 3 => '', |
14883 |
+ 4 => '' |
14884 |
+ ), |
14885 |
+ 'OOLANG' => true, |
14886 |
+ 'OBJECT_SPLITTERS' => array( |
14887 |
+ 1 => '::' |
14888 |
+ ), |
14889 |
+ 'REGEXPS' => array( |
14890 |
+ ), |
14891 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
14892 |
+ 'SCRIPT_DELIMITERS' => array( |
14893 |
+ ), |
14894 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
14895 |
+ ), |
14896 |
+ 'TAB_WIDTH' => 4 |
14897 |
+); |
14898 |
|
14899 |
diff --git a/plugins/wp-syntax/geshi/geshi/sas.php b/plugins/wp-syntax/geshi/geshi/sas.php |
14900 |
index dbf95a1..a5f463c 100644 |
14901 |
--- a/plugins/wp-syntax/geshi/geshi/sas.php |
14902 |
+++ b/plugins/wp-syntax/geshi/geshi/sas.php |
14903 |
@@ -4,7 +4,7 @@ |
14904 |
* ------- |
14905 |
* Author: Galen Johnson (solitaryr@×××××.com) |
14906 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
14907 |
- * Release Version: 1.0.8.11 |
14908 |
+ * Release Version: 1.0.8.12 |
14909 |
* Date Started: 2005/12/27 |
14910 |
* |
14911 |
* SAS language file for GeSHi. Based on the sas vim file. |
14912 |
@@ -286,5 +286,3 @@ $language_data = array ( |
14913 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
14914 |
) |
14915 |
); |
14916 |
- |
14917 |
-?> |
14918 |
\ No newline at end of file |
14919 |
|
14920 |
diff --git a/plugins/wp-syntax/geshi/geshi/scala.php b/plugins/wp-syntax/geshi/geshi/scala.php |
14921 |
index 405f59d..dcfb277 100644 |
14922 |
--- a/plugins/wp-syntax/geshi/geshi/scala.php |
14923 |
+++ b/plugins/wp-syntax/geshi/geshi/scala.php |
14924 |
@@ -4,7 +4,7 @@ |
14925 |
* ---------- |
14926 |
* Author: Franco Lombardo (franco@××××××××××××××.net) |
14927 |
* Copyright: (c) 2008 Franco Lombardo, Benny Baumann |
14928 |
- * Release Version: 1.0.8.11 |
14929 |
+ * Release Version: 1.0.8.12 |
14930 |
* Date Started: 2008/02/08 |
14931 |
* |
14932 |
* Scala language file for GeSHi. |
14933 |
@@ -134,5 +134,3 @@ $language_data = array ( |
14934 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
14935 |
) |
14936 |
); |
14937 |
- |
14938 |
-?> |
14939 |
\ No newline at end of file |
14940 |
|
14941 |
diff --git a/plugins/wp-syntax/geshi/geshi/scheme.php b/plugins/wp-syntax/geshi/geshi/scheme.php |
14942 |
index a84b908..2a6c972 100644 |
14943 |
--- a/plugins/wp-syntax/geshi/geshi/scheme.php |
14944 |
+++ b/plugins/wp-syntax/geshi/geshi/scheme.php |
14945 |
@@ -4,7 +4,7 @@ |
14946 |
* ---------- |
14947 |
* Author: Jon Raphaelson (jonraphaelson@×××××.com) |
14948 |
* Copyright: (c) 2005 Jon Raphaelson, Nigel McNie (http://qbnz.com/highlighter) |
14949 |
- * Release Version: 1.0.8.11 |
14950 |
+ * Release Version: 1.0.8.12 |
14951 |
* Date Started: 2004/08/30 |
14952 |
* |
14953 |
* Scheme language file for GeSHi. |
14954 |
@@ -166,5 +166,3 @@ $language_data = array ( |
14955 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
14956 |
) |
14957 |
); |
14958 |
- |
14959 |
-?> |
14960 |
|
14961 |
diff --git a/plugins/wp-syntax/geshi/geshi/scilab.php b/plugins/wp-syntax/geshi/geshi/scilab.php |
14962 |
index f011497..b0951d9 100644 |
14963 |
--- a/plugins/wp-syntax/geshi/geshi/scilab.php |
14964 |
+++ b/plugins/wp-syntax/geshi/geshi/scilab.php |
14965 |
@@ -4,7 +4,7 @@ |
14966 |
* -------- |
14967 |
* Author: Christophe David (geshi@×××××××××××××××.org) |
14968 |
* Copyright: (c) 2008 Christophe David (geshi@×××××××××××××××.org) |
14969 |
- * Release Version: 1.0.8.11 |
14970 |
+ * Release Version: 1.0.8.12 |
14971 |
* Date Started: 2008/08/04 |
14972 |
* |
14973 |
* SciLab language file for GeSHi. |
14974 |
@@ -291,5 +291,3 @@ $language_data = array ( |
14975 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
14976 |
) |
14977 |
); |
14978 |
- |
14979 |
-?> |
14980 |
\ No newline at end of file |
14981 |
|
14982 |
diff --git a/plugins/wp-syntax/geshi/geshi/scl.php b/plugins/wp-syntax/geshi/geshi/scl.php |
14983 |
new file mode 100644 |
14984 |
index 0000000..1401dcd |
14985 |
--- /dev/null |
14986 |
+++ b/plugins/wp-syntax/geshi/geshi/scl.php |
14987 |
@@ -0,0 +1,148 @@ |
14988 |
+<?php |
14989 |
+/************************************************************************************* |
14990 |
+ * <scl.php> |
14991 |
+ * --------------------------------- |
14992 |
+ * Author: Leonhard Hösch (leonhard.hoesch@×××××××.com) |
14993 |
+ * Copyright: (c) 2008 by Leonhard Hösch (siemens.de) |
14994 |
+ * Release Version: 1.0.8.12 |
14995 |
+ * Date Started: 2012/09/25 |
14996 |
+ * |
14997 |
+ * SCL language file for GeSHi. |
14998 |
+ * |
14999 |
+ * A SCL langauge file. |
15000 |
+ * |
15001 |
+ * CHANGES |
15002 |
+ * ------- |
15003 |
+ * <date-of-release> (<GeSHi release>) |
15004 |
+ * - First Release |
15005 |
+ * |
15006 |
+ * TODO (updated <date-of-release>) |
15007 |
+ * ------------------------- |
15008 |
+ * <things-to-do> |
15009 |
+ * |
15010 |
+ ************************************************************************************* |
15011 |
+ * |
15012 |
+ * This file is part of GeSHi. |
15013 |
+ * |
15014 |
+ * GeSHi is free software; you can redistribute it and/or modify |
15015 |
+ * it under the terms of the GNU General Public License as published by |
15016 |
+ * the Free Software Foundation; either version 2 of the License, or |
15017 |
+ * (at your option) any later version. |
15018 |
+ * |
15019 |
+ * GeSHi is distributed in the hope that it will be useful, |
15020 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
15021 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
15022 |
+ * GNU General Public License for more details. |
15023 |
+ * |
15024 |
+ * You should have received a copy of the GNU General Public License |
15025 |
+ * along with GeSHi; if not, write to the Free Software |
15026 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
15027 |
+ * |
15028 |
+ ************************************************************************************/ |
15029 |
+ |
15030 |
+$language_data = array ( |
15031 |
+ 'LANG_NAME' => 'SCL', |
15032 |
+ 'COMMENT_SINGLE' => array(1 => '//'), |
15033 |
+ 'COMMENT_MULTI' => array('(*' => '*)'), |
15034 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_UPPER, |
15035 |
+ 'QUOTEMARKS' => array("'"), |
15036 |
+ 'ESCAPE_CHAR' => '$', |
15037 |
+ 'KEYWORDS' => array( |
15038 |
+ 1 => array( |
15039 |
+ 'AND','ANY','ARRAY','AT','BEGIN','BLOCK_DB','BLOCK_FB','BLOCK_FC','BLOCK_SDB', |
15040 |
+ 'BLOCK_SFB','BLOCK_SFC','BOOL','BY','BYTE','CASE','CHAR','CONST','CONTINUE','COUNTER', |
15041 |
+ 'DATA_BLOCK','DATE','DATE_AND_TIME','DINT','DIV','DO','DT','DWORD','ELSE','ELSIF', |
15042 |
+ 'EN','END_CASE','END_CONST','END_DATA_BLOCK','END_FOR','END_FUNCTION', |
15043 |
+ 'END_FUNCTION_BLOCK','END_IF','END_LABEL','END_TYPE','END_ORGANIZATION_BLOCK', |
15044 |
+ 'END_REPEAT','END_STRUCT','END_VAR','END_WHILE','ENO','EXIT','FALSE','FOR','FUNCTION', |
15045 |
+ 'FUNCTION_BLOCK','GOTO','IF','INT','LABEL','MOD','NIL','NOT','OF','OK','OR', |
15046 |
+ 'ORGANIZATION_BLOCK','POINTER','PROGRAM','REAL','REPEAT','RETURN','S5TIME','STRING', |
15047 |
+ 'STRUCT','THEN','TIME','TIMER','TIME_OF_DAY','TO','TOD','TRUE','TYPE','VAR', |
15048 |
+ 'VAR_TEMP','UNTIL','VAR_INPUT','VAR_IN_OUT','VAR_OUTPUT','VOID','WHILE','WORD','XOR' |
15049 |
+ ), |
15050 |
+ 2 =>array( |
15051 |
+ 'UBLKMOV','FILL','CREAT_DB','DEL_DB','TEST_DB','COMPRESS','REPL_VAL','CREA_DBL','READ_DBL', |
15052 |
+ 'WRIT_DBL','CREA_DB','RE_TRIGR','STP','WAIT','MP_ALM','CiR','PROTECT','SET_CLK','READ_CLK', |
15053 |
+ 'SNC_RTCB','SET_CLKS','RTM','SET_RTM','CTRL_RTM','READ_RTM','TIME_TCK','RD_DPARM', |
15054 |
+ 'RD_DPARA','WR_PARM','WR_DPARM','PARM_MOD','WR_REC','RD_REC','RD_DPAR','RDREC','WRREC','RALRM', |
15055 |
+ 'SALRM','RCVREC','PRVREC','SET_TINT','CAN_TINT','ACT_TINT','QRY_TINT','SRT_DINT','QRY_DINT', |
15056 |
+ 'CAN_DINT','MSK_FLT','DMSK_FLT','READ_ERR','DIS_IRT','EN_IRT','DIS_AIRT','EN_AIRT','RD_SINFO', |
15057 |
+ 'RDSYSST','WR_USMSG','OB_RT','C_DIAG','DP_TOPOL','UPDAT_PI','UPDAT_PO','SYNC_PI','SYNC_PO', |
15058 |
+ 'SET','RSET','DRUM','GADR_LGC','LGC_GADR','RD_LGADR','GEO_LOG','LOG_GEO','DP_PRAL','DPSYC_FR', |
15059 |
+ 'D_ACT_DP','DPNRM_DG','DPRD_DAT','DPWR_DAT','PN_IN','PN_OUT','PN_DP','WWW','IP_CONF','GETIO', |
15060 |
+ 'SETIO','GETIO_PART','SETIO_PART','GD_SND','GD_RCV','USEND','URCV','BSEND','BRCV','PUT','GET', |
15061 |
+ 'PRINT','START','STOP','RESUME','STATUS','USTATUS','CONTROL','C_CNTRL','X_SEND','X_RCV', |
15062 |
+ 'X_GET','X_PUT','X_ABORT','I_GET','I_PUT','I_ABORT','TCON','TDISCON','TSEND','TRCV','TUSEND', |
15063 |
+ 'TURCV','NOTIFY','NOTIFY_8P','ALARM','ALARM_8P','ALARM_8','AR_SEND','DIS_MSG','EN_MSG', |
15064 |
+ 'ALARM_SQ','ALARM_S','ALARM_SC','ALARM_DQ','LARM_D','READ_SI','DEL_SI','TP','TON','TOF','CTU', |
15065 |
+ 'CTD','CTUD','CONT_C','CONT_S','PULSEGEN','Analog','DIGITAL','COUNT','FREQUENC','PULSE', |
15066 |
+ 'SEND_PTP','RECV_PTP','RES_RECV','SEND_RK','FETCH_RK','SERVE_RK','H_CTRL','state' |
15067 |
+ ), |
15068 |
+ ), |
15069 |
+ 'SYMBOLS' => array( |
15070 |
+ '.', '"', '|', ';', ',', '=>', '>=', '<=', ':=', '=', '<', '>' |
15071 |
+ ), |
15072 |
+ 'CASE_SENSITIVE' => array( |
15073 |
+ GESHI_COMMENTS => false, |
15074 |
+ 1 => false, |
15075 |
+ 2 => false, |
15076 |
+ ), |
15077 |
+ 'STYLES' => array( |
15078 |
+ 'KEYWORDS' => array( |
15079 |
+ 1 => 'color: #0000ff;', |
15080 |
+ 2 => 'color: #ff6f00;', |
15081 |
+ ), |
15082 |
+ 'COMMENTS' => array( |
15083 |
+ 1 => 'color: #009600; font-style: italic;', |
15084 |
+ 'MULTI' => 'color: #009600; font-style: italic;' |
15085 |
+ ), |
15086 |
+ 'ESCAPE_CHAR' => array( |
15087 |
+ 0 => 'color: #000099; font-weight: bold;' |
15088 |
+ ), |
15089 |
+ 'BRACKETS' => array( |
15090 |
+ 0 => 'color: #66cc66;' |
15091 |
+ ), |
15092 |
+ 'STRINGS' => array( |
15093 |
+ 0 => 'color: #ff0000;' |
15094 |
+ ), |
15095 |
+ 'NUMBERS' => array( |
15096 |
+ 0 => 'color: #cc66cc;' |
15097 |
+ ), |
15098 |
+ 'METHODS' => array( |
15099 |
+ 0 => 'color: #006600;' |
15100 |
+ ), |
15101 |
+ 'SYMBOLS' => array( |
15102 |
+ 0 => 'color: #66cc66;' |
15103 |
+ ), |
15104 |
+ 'REGEXPS' => array( |
15105 |
+ ), |
15106 |
+ 'SCRIPT' => array( |
15107 |
+ 0 => '', |
15108 |
+ 1 => '', |
15109 |
+ 2 => '', |
15110 |
+ 3 => '' |
15111 |
+ ) |
15112 |
+ ), |
15113 |
+ 'URLS' => array( |
15114 |
+ 1 => '', |
15115 |
+ 2 => '' |
15116 |
+ ), |
15117 |
+ 'NUMBERS' => GESHI_NUMBER_INT_BASIC, |
15118 |
+ 'OOLANG' => false, |
15119 |
+ 'OBJECT_SPLITTERS' => array( |
15120 |
+ 1 => '', |
15121 |
+ 2 => '' |
15122 |
+ ), |
15123 |
+ 'REGEXPS' => array( |
15124 |
+ ), |
15125 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
15126 |
+ 'SCRIPT_DELIMITERS' => array( |
15127 |
+ 0 => array( |
15128 |
+ '<?php11!!' => '!!11?>' |
15129 |
+ ), |
15130 |
+ ), |
15131 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
15132 |
+ 0 => false, |
15133 |
+ ), |
15134 |
+ 'TAB_WIDTH' => 4 |
15135 |
+); |
15136 |
|
15137 |
diff --git a/plugins/wp-syntax/geshi/geshi/sdlbasic.php b/plugins/wp-syntax/geshi/geshi/sdlbasic.php |
15138 |
index 381161f..90b06ab 100644 |
15139 |
--- a/plugins/wp-syntax/geshi/geshi/sdlbasic.php |
15140 |
+++ b/plugins/wp-syntax/geshi/geshi/sdlbasic.php |
15141 |
@@ -4,7 +4,7 @@ |
15142 |
* ------------ |
15143 |
* Author: Roberto Rossi |
15144 |
* Copyright: (c) 2005 Roberto Rossi (http://rsoftware.altervista.org) |
15145 |
- * Release Version: 1.0.8.11 |
15146 |
+ * Release Version: 1.0.8.12 |
15147 |
* Date Started: 2005/08/19 |
15148 |
* |
15149 |
* sdlBasic (http://sdlbasic.sf.net) language file for GeSHi. |
15150 |
@@ -161,5 +161,3 @@ $language_data = array ( |
15151 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
15152 |
) |
15153 |
); |
15154 |
- |
15155 |
-?> |
15156 |
|
15157 |
diff --git a/plugins/wp-syntax/geshi/geshi/smalltalk.php b/plugins/wp-syntax/geshi/geshi/smalltalk.php |
15158 |
index 5b61bad..bd6b404 100644 |
15159 |
--- a/plugins/wp-syntax/geshi/geshi/smalltalk.php |
15160 |
+++ b/plugins/wp-syntax/geshi/geshi/smalltalk.php |
15161 |
@@ -4,7 +4,7 @@ |
15162 |
* -------- |
15163 |
* Author: Bananeweizen (Bananeweizen@×××.de) |
15164 |
* Copyright: (c) 2005 Bananeweizen (www.bananeweizen.de) |
15165 |
- * Release Version: 1.0.8.11 |
15166 |
+ * Release Version: 1.0.8.12 |
15167 |
* Date Started: 2005/03/27 |
15168 |
* |
15169 |
* Smalltalk language file for GeSHi. |
15170 |
@@ -150,5 +150,3 @@ $language_data = array ( |
15171 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
15172 |
) |
15173 |
); |
15174 |
- |
15175 |
-?> |
15176 |
\ No newline at end of file |
15177 |
|
15178 |
diff --git a/plugins/wp-syntax/geshi/geshi/smarty.php b/plugins/wp-syntax/geshi/geshi/smarty.php |
15179 |
index 86e9d44..5c22415 100644 |
15180 |
--- a/plugins/wp-syntax/geshi/geshi/smarty.php |
15181 |
+++ b/plugins/wp-syntax/geshi/geshi/smarty.php |
15182 |
@@ -4,7 +4,7 @@ |
15183 |
* ---------- |
15184 |
* Author: Alan Juden (alan@×××××××××.org) |
15185 |
* Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter/) |
15186 |
- * Release Version: 1.0.8.11 |
15187 |
+ * Release Version: 1.0.8.12 |
15188 |
* Date Started: 2004/07/10 |
15189 |
* |
15190 |
* Smarty template language file for GeSHi. |
15191 |
@@ -188,5 +188,3 @@ $language_data = array ( |
15192 |
) |
15193 |
) |
15194 |
); |
15195 |
- |
15196 |
-?> |
15197 |
|
15198 |
diff --git a/plugins/wp-syntax/geshi/geshi/spark.php b/plugins/wp-syntax/geshi/geshi/spark.php |
15199 |
index 0284a1a..4999e78 100644 |
15200 |
--- a/plugins/wp-syntax/geshi/geshi/spark.php |
15201 |
+++ b/plugins/wp-syntax/geshi/geshi/spark.php |
15202 |
@@ -4,7 +4,7 @@ |
15203 |
* ------- |
15204 |
* Author: Phil Thornley (tux@××××××.cz) |
15205 |
* Copyright: (c) 2004 Phil Thornley (http://www.sparksure.com) |
15206 |
- * Release Version: 1.0.8.11 |
15207 |
+ * Release Version: 1.0.8.12 |
15208 |
* Date Started: 2010/08/22 |
15209 |
* |
15210 |
* SPARK language file for GeSHi. |
15211 |
@@ -91,9 +91,6 @@ $language_data = array ( |
15212 |
'ESCAPE_CHAR' => array( |
15213 |
0 => 'color: #000099; font-weight: bold;' |
15214 |
), |
15215 |
- 'BRACKETS' => array( |
15216 |
- 0 => 'color: #66cc66;' |
15217 |
- ), |
15218 |
'STRINGS' => array( |
15219 |
0 => 'color: #7f007f;' |
15220 |
), |
15221 |
@@ -128,5 +125,3 @@ $language_data = array ( |
15222 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
15223 |
) |
15224 |
); |
15225 |
- |
15226 |
-?> |
15227 |
\ No newline at end of file |
15228 |
|
15229 |
diff --git a/plugins/wp-syntax/geshi/geshi/sparql.php b/plugins/wp-syntax/geshi/geshi/sparql.php |
15230 |
index 282165a..feb1f98 100644 |
15231 |
--- a/plugins/wp-syntax/geshi/geshi/sparql.php |
15232 |
+++ b/plugins/wp-syntax/geshi/geshi/sparql.php |
15233 |
@@ -4,7 +4,7 @@ |
15234 |
* ------- |
15235 |
* Author: Karima Rafes (karima.rafes@×××××××××××.com) |
15236 |
* Copyright: (c) 2011 Bourdercloud.com |
15237 |
- * Release Version: 1.0.8.11 |
15238 |
+ * Release Version: 1.0.8.12 |
15239 |
* Date Started: 2011/11/05 |
15240 |
* |
15241 |
* SPARQL language file for GeSHi. |
15242 |
@@ -151,5 +151,3 @@ $language_data = array ( |
15243 |
'SCRIPT_DELIMITERS' => array(), |
15244 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
15245 |
); |
15246 |
- |
15247 |
-?> |
15248 |
\ No newline at end of file |
15249 |
|
15250 |
diff --git a/plugins/wp-syntax/geshi/geshi/sql.php b/plugins/wp-syntax/geshi/geshi/sql.php |
15251 |
index 4d08a51..39791f6 100644 |
15252 |
--- a/plugins/wp-syntax/geshi/geshi/sql.php |
15253 |
+++ b/plugins/wp-syntax/geshi/geshi/sql.php |
15254 |
@@ -6,7 +6,7 @@ |
15255 |
* Contributors: |
15256 |
* - Jürgen Thomas (Juergen.Thomas@××××××××.de) |
15257 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter) |
15258 |
- * Release Version: 1.0.8.11 |
15259 |
+ * Release Version: 1.0.8.12 |
15260 |
* Date Started: 2004/06/04 |
15261 |
* |
15262 |
* SQL language file for GeSHi. |
15263 |
@@ -71,8 +71,8 @@ $language_data = array ( |
15264 |
'DATA', 'DATABASE', 'DATABASES', 'DATE', 'DAY', 'DEC', 'DECIMAL', 'DECLARE', |
15265 |
'DEFAULT', 'DELAYED', 'DELETE', 'DESC', 'DESCRIBE', 'DISTINCT', 'DOUBLE', |
15266 |
'DOMAIN', 'DROP', |
15267 |
- 'ELSE', 'ENCLOSED', 'END', 'ESCAPED', 'EXCEPT', 'EXEC', 'EXECUTE', 'EXISTS', 'EXP', |
15268 |
- 'EXPLAIN', 'EXTRACT', |
15269 |
+ 'ELSE', 'ELSEIF', 'ENCLOSED', 'END', 'ESCAPED', 'EXCEPT', 'EXEC', 'EXECUTE', 'EXISTS', |
15270 |
+ 'EXP', 'EXPLAIN', 'EXTRACT', |
15271 |
'FALSE', 'FIELD', 'FIELDS', 'FILTER', 'FIRST', 'FLOAT', 'FLOOR', 'FLUSH', 'FOR', |
15272 |
'FOREIGN', 'FROM', 'FULL', 'FUNCTION', |
15273 |
'GET', 'GROUP', 'GROUPING', 'GO', 'GOTO', 'GRANT', 'GRANTED', |
15274 |
@@ -159,7 +159,10 @@ $language_data = array ( |
15275 |
'SCRIPT_DELIMITERS' => array( |
15276 |
), |
15277 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
15278 |
+ ), |
15279 |
+ 'PARSER_CONTROL' => array( |
15280 |
+ 'KEYWORDS' => array( //' |
15281 |
+ 'DISALLOWED_BEFORE' => "(?<![a-zA-Z0-9\$_\.\|\#|^&])" |
15282 |
+ ) |
15283 |
) |
15284 |
); |
15285 |
- |
15286 |
-?> |
15287 |
\ No newline at end of file |
15288 |
|
15289 |
diff --git a/plugins/wp-syntax/geshi/geshi/standardml.php b/plugins/wp-syntax/geshi/geshi/standardml.php |
15290 |
new file mode 100644 |
15291 |
index 0000000..970aa11 |
15292 |
--- /dev/null |
15293 |
+++ b/plugins/wp-syntax/geshi/geshi/standardml.php |
15294 |
@@ -0,0 +1,216 @@ |
15295 |
+<?php |
15296 |
+/************************************************************************************* |
15297 |
+ * standardml.php |
15298 |
+ * ---------- |
15299 |
+ * Author: eldesh (nephits@×××××.com) |
15300 |
+ * Copyright: (c) 2014 eldesh (http://d.hatena.ne.jp/eldesh/) |
15301 |
+ * Release Version: 1.0.8.12 |
15302 |
+ * Date Started: 2014/02/04 |
15303 |
+ * |
15304 |
+ * SML (StandardML'97) language file for GeSHi. |
15305 |
+ * This file also support some implementation dependent keywords by SML/NJ and SML#. |
15306 |
+ * |
15307 |
+ * CHANGES |
15308 |
+ * ------- |
15309 |
+ * 2014/02/05 (1.0.8.11) |
15310 |
+ * - First Release |
15311 |
+ * |
15312 |
+ * TODO (updated 2014/02/04) |
15313 |
+ * ------------------------- |
15314 |
+ * - support character literal |
15315 |
+ * - support Vector expressions and patterns (http://www.smlnj.org/doc/features.html) |
15316 |
+ * - support more Basis functions...? |
15317 |
+ * |
15318 |
+ ************************************************************************************* |
15319 |
+ * |
15320 |
+ * This file is part of GeSHi. |
15321 |
+ * |
15322 |
+ * GeSHi is free software; you can redistribute it and/or modify |
15323 |
+ * it under the terms of the GNU General Public License as published by |
15324 |
+ * the Free Software Foundation; either version 2 of the License, or |
15325 |
+ * (at your option) any later version. |
15326 |
+ * |
15327 |
+ * GeSHi is distributed in the hope that it will be useful, |
15328 |
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of |
15329 |
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
15330 |
+ * GNU General Public License for more details. |
15331 |
+ * |
15332 |
+ * You should have received a copy of the GNU General Public License |
15333 |
+ * along with GeSHi; if not, write to the Free Software |
15334 |
+ * Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA |
15335 |
+ * |
15336 |
+ ************************************************************************************/ |
15337 |
+ |
15338 |
+$language_data = array ( |
15339 |
+ 'LANG_NAME' => 'StandardML', |
15340 |
+ 'COMMENT_SINGLE' => array(), |
15341 |
+ 'COMMENT_MULTI' => array('(*' => '*)'), |
15342 |
+ 'COMMENT_REGEXP' => array(1 => '/\(\*(?:(?R)|.)+?\*\)/s'), |
15343 |
+ 'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
15344 |
+ 'QUOTEMARKS' => array('"'), |
15345 |
+ 'ESCAPE_CHAR' => '\\', |
15346 |
+ 'NUMBERS' => |
15347 |
+ array( |
15348 |
+ /* integer dec */ |
15349 |
+ 0 => GESHI_NUMBER_INT_BASIC, |
15350 |
+ /* integer hex */ |
15351 |
+ 1 => GESHI_NUMBER_HEX_PREFIX, |
15352 |
+ /* real */ |
15353 |
+ 2 => GESHI_NUMBER_FLT_SCI_ZERO, |
15354 |
+ /* word dec */ |
15355 |
+ 3 => '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])0w[0-9]+?(?![0-9a-z]|\.(?:[eE][+\-]?)?\d)', |
15356 |
+ /* word hex */ |
15357 |
+ 4 => '(?<![0-9a-z_\.])(?<![\d\.]e[+\-])0wx[0-9a-fA-F]+?(?![0-9a-z]|\.(?:[eE][+\-]?)?\d)' |
15358 |
+ ), |
15359 |
+ 'KEYWORDS' => array( |
15360 |
+ /* main SML keywords */ |
15361 |
+ 1 => array( |
15362 |
+ /* deprecated: SML90 */ |
15363 |
+ 'abstype', |
15364 |
+ |
15365 |
+ 'and', 'andalso', 'as', 'begin', 'case', 'datatype', 'else', |
15366 |
+ 'end', 'exception', 'fn', 'fun', 'functor', |
15367 |
+ 'if', 'in', 'infix', 'infixr', 'include', 'let', 'local', 'nonfix', |
15368 |
+ 'of', 'op', 'open', 'orelse', |
15369 |
+ 'rec', 'raise', 'sharing', 'sig', 'signature', 'struct', 'structure', 'then', |
15370 |
+ 'type', 'val', 'while', 'where', 'with', 'withtype' |
15371 |
+ ), |
15372 |
+ /* Top-level type and constructors */ |
15373 |
+ 2 => array( |
15374 |
+ 'unit', 'int', 'word', 'real', 'char', 'string', 'substring', 'exn', |
15375 |
+ 'array', 'vector', 'bool', 'option', |
15376 |
+ 'list' |
15377 |
+ ), |
15378 |
+ /* standard structures/signatures/functors provided by Basis library */ |
15379 |
+ 3 => array( |
15380 |
+ 'ARRAY', 'Array', 'Array2', 'ARRAY2', 'ArraySlice', 'ARRAY_SLICE', |
15381 |
+ 'BinIO', 'BIT_FLAGS', 'Bool', 'BOOL', 'Byte', 'CHAR', 'Char', |
15382 |
+ 'CommandLine', 'Date', 'General', 'GenericSock', 'IEEEReal', 'IMPERATIVE_IO', |
15383 |
+ 'ImperativeIO', 'INetSock', 'INTEGER', 'Int', 'IntInf', 'IO', 'List', 'ListPair', |
15384 |
+ 'MATH', 'MONO_ARRAY', 'MONO_ARRAY2', 'MONO_ARRAY_SLICE', 'MONO_VECTOR', |
15385 |
+ 'MONO_VECTOR_SLICE', 'NetHostDB', 'NetProtDB', 'NetServDB', 'Option', |
15386 |
+ 'OS', 'OS.FileSys', 'OS.IO', 'OS.Path', 'OS.Process', 'PACK_REAL', 'PACK_WORD', |
15387 |
+ 'Posix', 'Posix.Error', 'Posix.FileSys', 'Posix.IO', 'Posix.ProcEnv', 'Posix.Process', |
15388 |
+ 'Posix.Signal', 'Posix.SysDB', 'Posix.TTY', 'PRIM_IO', 'PrimIO', 'REAL', 'Real', 'Socket', |
15389 |
+ 'STREAM_IO', 'StreamIO', 'STRING', 'String', 'StringCvt', 'SUBSTRING', 'Substring', 'TEXT', 'TEXT_IO', |
15390 |
+ 'TEXT_STREAM_IO', 'Time', 'Timer', 'Unix', 'UnixSock', 'VECTOR', 'Vector', 'VECTOR_SLICE', |
15391 |
+ 'Windows', 'WORD', 'Word' |
15392 |
+ ), |
15393 |
+ /* Top-level value identifiers / constructors */ |
15394 |
+ 4 => array( |
15395 |
+ 'app', 'before', 'ceil', 'chr', 'concat', 'exnMessage', 'exnName', 'explode', |
15396 |
+ 'floor', 'foldl', 'foldr', 'getOpt', 'hd', 'ignore', 'implode', 'isSome', 'length', 'map', 'not', |
15397 |
+ 'null', 'o', 'ord', 'print', 'rev', 'round', 'size', 'str', 'tl', 'trunc', |
15398 |
+ 'use', 'valOf', |
15399 |
+ /* constructors */ |
15400 |
+ 'ref', 'true', 'false', 'NONE', 'SOME', 'LESS', 'EQUAL', 'GREATER', 'nil', |
15401 |
+ /* overloaded identifiers */ |
15402 |
+ 'div', 'mod', 'abs' |
15403 |
+ ), |
15404 |
+ /* standard exceptions */ |
15405 |
+ 5 => array ( |
15406 |
+ 'Bind', 'Chr', 'Div', 'Domain', 'Empty', 'Fail', 'Match', 'Overflow', 'Size', 'Span', 'Subscript' |
15407 |
+ ), |
15408 |
+ /* implementation dependent keyword (not be sorted) */ |
15409 |
+ 6 => array ( |
15410 |
+ /** SML/NJ */ |
15411 |
+ /* functor signature > http://www.smlnj.org/doc/features.html */ |
15412 |
+ 'funsig', |
15413 |
+ /* lazy evaluation */ |
15414 |
+ 'lazy', |
15415 |
+ /** SML# */ |
15416 |
+ /* binding to C function */ |
15417 |
+ '_import', |
15418 |
+ /* read other source */ |
15419 |
+ '_require', |
15420 |
+ /* export aggregated interface files */ |
15421 |
+ 'include', |
15422 |
+ /* integrated sql */ |
15423 |
+ '_sqlserver', '_sql', 'from', 'where', '_sqleval', '_sqlexec', |
15424 |
+ 'select', 'insert', 'update', 'begin', 'commit', 'rollback', |
15425 |
+ 'values', 'delete' |
15426 |
+ ) |
15427 |
+ ), |
15428 |
+ /* highlighting symbols */ |
15429 |
+ 'SYMBOLS' => array( |
15430 |
+ 0 => array('=', ':', ':>', '=>', '(', ')', '|', '_', '==', ';', '.'), |
15431 |
+ 1 => array('!', ':=', '@', '^'), |
15432 |
+ 2 => array('[', ']', '::', '{', '}'), |
15433 |
+ /* overloaded identifiers */ |
15434 |
+ 3 => array('+', '-', '*', '/', '~', '<', '>', '<=', '>=') |
15435 |
+ ), |
15436 |
+ 'CASE_SENSITIVE' => array( |
15437 |
+ GESHI_COMMENTS => false, |
15438 |
+ 1 => true, /* keywords */ |
15439 |
+ 2 => true, /* top level types */ |
15440 |
+ 3 => true, /* structures */ |
15441 |
+ 4 => true, /* top level identifiers */ |
15442 |
+ 5 => true, /* top level exceptions */ |
15443 |
+ 6 => true /* implementation dependent keyword */ |
15444 |
+ ), |
15445 |
+ 'STYLES' => array( |
15446 |
+ 'KEYWORDS' => array( |
15447 |
+ 1 => 'color: #557cde; font-weight: bold;', |
15448 |
+ 2 => 'color: #8dda4a; font-weight: bold;', |
15449 |
+ 3 => 'color: #0066cc; font-weight: bold;', |
15450 |
+ 4 => 'color: #5c8cbb;', |
15451 |
+ 5 => 'color: #f33e64; font-weight: bold;', |
15452 |
+ 6 => 'color: #f33e64;' |
15453 |
+ ), |
15454 |
+ 'COMMENTS' => array( |
15455 |
+ 'MULTI' => 'color: #5d478b; font-style: italic;', /* light purple */ |
15456 |
+ 1 => 'color: #5d478b; font-style: italic;' /* light purple */ |
15457 |
+ ), |
15458 |
+ 'ESCAPE_CHAR' => array( |
15459 |
+ ), |
15460 |
+ 'BRACKETS' => array( |
15461 |
+ 0 => 'color: #79c200;' |
15462 |
+ ), |
15463 |
+ 'STRINGS' => array( |
15464 |
+ 0 => 'color: #488614;' |
15465 |
+ ), |
15466 |
+ 'NUMBERS' => array( |
15467 |
+ 0 => 'color: #fb7600;', |
15468 |
+ 1 => 'color: #fb7600;', |
15469 |
+ 2 => 'color: #fb7600;', |
15470 |
+ 3 => 'color: #fb7600;', |
15471 |
+ 4 => 'color: #fb7600;' |
15472 |
+ ), |
15473 |
+ 'METHODS' => array( |
15474 |
+ 1 => 'color: #0066cc;' |
15475 |
+ ), |
15476 |
+ 'REGEXPS' => array( |
15477 |
+ 1 => 'font-style:italic; color:#9f7eff;', |
15478 |
+ 2 => 'font-weight:bold; color:#8dda4a;' |
15479 |
+ ), |
15480 |
+ 'SYMBOLS' => array( |
15481 |
+ 0 => 'color: #ff4bcf;', |
15482 |
+ 1 => 'color: #ff4bcf; font-weight: bold;', // pink |
15483 |
+ 2 => 'color: #90f963;', // orange |
15484 |
+ 3 => 'color: #fa5bf8;' |
15485 |
+ ), |
15486 |
+ 'SCRIPT' => array( |
15487 |
+ ) |
15488 |
+ ), |
15489 |
+ 'URLS' => array( |
15490 |
+ 1 => '', |
15491 |
+ 2 => 'http://www.standardml.org/Basis/top-level-chapter.html', |
15492 |
+ 3 => '', |
15493 |
+ 4 => '', |
15494 |
+ 5 => 'http://www.standardml.org/Basis/top-level-chapter.html#section:2', |
15495 |
+ 6 => '' |
15496 |
+ ), |
15497 |
+ 'OOLANG' => true, |
15498 |
+ 'OBJECT_SPLITTERS' => array( |
15499 |
+ 1 => '.' |
15500 |
+ ), |
15501 |
+ 'REGEXPS' => array( |
15502 |
+ 1 => '(?<!\w)#\w+', /* record field access */ |
15503 |
+ 2 => '(?:(?<![0-9a-zA-Z]))\'[a-z]+' /* type variable */ |
15504 |
+ ), |
15505 |
+ 'STRICT_MODE_APPLIES' => GESHI_NEVER, |
15506 |
+ 'SCRIPT_DELIMITERS' => array( |
15507 |
+ ), |
15508 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array( |
15509 |
+ ) |
15510 |
+); |
15511 |
|
15512 |
diff --git a/plugins/wp-syntax/geshi/geshi/stonescript.php b/plugins/wp-syntax/geshi/geshi/stonescript.php |
15513 |
index 2844e88..e1697d8 100644 |
15514 |
--- a/plugins/wp-syntax/geshi/geshi/stonescript.php |
15515 |
+++ b/plugins/wp-syntax/geshi/geshi/stonescript.php |
15516 |
@@ -4,7 +4,7 @@ |
15517 |
* -------- |
15518 |
* Author: Archimmersion ( based on ruby.php by Moises Deniz ) |
15519 |
* Copyright: (c) 2011 Archimmersion ( http://www.archimmersion.com ) |
15520 |
- * Release Version: 1.0.8.11 |
15521 |
+ * Release Version: 1.0.8.12 |
15522 |
* Date Started: 2011/03/30 |
15523 |
* |
15524 |
* StoneScript language file for GeSHi. |
15525 |
@@ -303,5 +303,3 @@ $language_data = array ( |
15526 |
), |
15527 |
'TAB_WIDTH' => 2 |
15528 |
); |
15529 |
- |
15530 |
-?> |
15531 |
\ No newline at end of file |
15532 |
|
15533 |
diff --git a/plugins/wp-syntax/geshi/geshi/systemverilog.php b/plugins/wp-syntax/geshi/geshi/systemverilog.php |
15534 |
index f2ba92b..125b8c1 100644 |
15535 |
--- a/plugins/wp-syntax/geshi/geshi/systemverilog.php |
15536 |
+++ b/plugins/wp-syntax/geshi/geshi/systemverilog.php |
15537 |
@@ -4,7 +4,7 @@ |
15538 |
* ------- |
15539 |
* Author: Sean O'Boyle |
15540 |
* Copyright: (C) 2008 IntelligentDV |
15541 |
- * Release Version: 1.0.8.11 |
15542 |
+ * Release Version: 1.0.8.12 |
15543 |
* Date Started: 2008/06/25 |
15544 |
* |
15545 |
* SystemVerilog IEEE 1800-2009(draft8) language file for GeSHi. |
15546 |
@@ -52,9 +52,9 @@ |
15547 |
* Project: SyntaxFiles |
15548 |
* |
15549 |
* File: systemverilog.php |
15550 |
- * $LastChangedBy: benbe $ |
15551 |
- * $LastChangedDate: 2012-08-18 01:56:20 +0200 (Sa, 18. Aug 2012) $ |
15552 |
- * $LastChangedRevision: 2542 $ |
15553 |
+ * $LastChangedBy$ |
15554 |
+ * $LastChangedDate$ |
15555 |
+ * $LastChangedRevision$ |
15556 |
* |
15557 |
************************************************************************/ |
15558 |
|
15559 |
@@ -313,5 +313,3 @@ $language_data = array ( |
15560 |
) |
15561 |
) |
15562 |
); |
15563 |
- |
15564 |
-?> |
15565 |
\ No newline at end of file |
15566 |
|
15567 |
diff --git a/plugins/wp-syntax/geshi/geshi/tcl.php b/plugins/wp-syntax/geshi/geshi/tcl.php |
15568 |
index 4dd7be8..697411f 100644 |
15569 |
--- a/plugins/wp-syntax/geshi/geshi/tcl.php |
15570 |
+++ b/plugins/wp-syntax/geshi/geshi/tcl.php |
15571 |
@@ -4,7 +4,7 @@ |
15572 |
* --------------------------------- |
15573 |
* Author: Reid van Melle (rvanmelle@×××××.com) |
15574 |
* Copyright: (c) 2004 Reid van Melle (sorry@nowhere) |
15575 |
- * Release Version: 1.0.8.11 |
15576 |
+ * Release Version: 1.0.8.12 |
15577 |
* Date Started: 2006/05/05 |
15578 |
* |
15579 |
* TCL/iTCL language file for GeSHi. |
15580 |
@@ -50,14 +50,14 @@ |
15581 |
|
15582 |
$language_data = array ( |
15583 |
'LANG_NAME' => 'TCL', |
15584 |
- 'COMMENT_SINGLE' => array(1 => '#'), |
15585 |
+ 'COMMENT_SINGLE' => array(), |
15586 |
'COMMENT_MULTI' => array(), |
15587 |
'COMMENT_REGEXP' => array( |
15588 |
- 1 => '/(?<!\\\\)#(?:\\\\\\\\|\\\\\\n|.)*$/m', |
15589 |
+ 1 => '/(?:^|(?<=\{|;))\s*#(?:\\\\\\\\|\\\\\\n|.)*$/m', |
15590 |
//2 => '/{[^}\n]+}/' |
15591 |
), |
15592 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
15593 |
- 'QUOTEMARKS' => array('"', "'"), |
15594 |
+ 'QUOTEMARKS' => array('"'), |
15595 |
'ESCAPE_CHAR' => '\\', |
15596 |
'KEYWORDS' => array( |
15597 |
/* |
15598 |
@@ -187,8 +187,6 @@ $language_data = array ( |
15599 |
'PARSER_CONTROL' => array( |
15600 |
'COMMENTS' => array( |
15601 |
'DISALLOWED_BEFORE' => '\\' |
15602 |
+ ) |
15603 |
) |
15604 |
- ) |
15605 |
); |
15606 |
- |
15607 |
-?> |
15608 |
\ No newline at end of file |
15609 |
|
15610 |
diff --git a/plugins/wp-syntax/geshi/geshi/teraterm.php b/plugins/wp-syntax/geshi/geshi/teraterm.php |
15611 |
index f125642..2a4b412 100644 |
15612 |
--- a/plugins/wp-syntax/geshi/geshi/teraterm.php |
15613 |
+++ b/plugins/wp-syntax/geshi/geshi/teraterm.php |
15614 |
@@ -4,7 +4,7 @@ |
15615 |
* -------- |
15616 |
* Author: Boris Maisuradze (boris at logmett.com) |
15617 |
* Copyright: (c) 2008 Boris Maisuradze (http://logmett.com) |
15618 |
- * Release Version: 1.0.8.11 |
15619 |
+ * Release Version: 1.0.8.12 |
15620 |
* Date Started: 2008/09/26 |
15621 |
* |
15622 |
* Tera Term Macro language file for GeSHi. |
15623 |
@@ -350,5 +350,3 @@ $language_data = array ( |
15624 |
'HIGHLIGHT_STRICT_BLOCK' => array(), |
15625 |
'TAB_WIDTH' => 4 |
15626 |
); |
15627 |
- |
15628 |
-?> |
15629 |
\ No newline at end of file |
15630 |
|
15631 |
diff --git a/plugins/wp-syntax/geshi/geshi/text.php b/plugins/wp-syntax/geshi/geshi/text.php |
15632 |
index 87fb711..dbb97a3 100644 |
15633 |
--- a/plugins/wp-syntax/geshi/geshi/text.php |
15634 |
+++ b/plugins/wp-syntax/geshi/geshi/text.php |
15635 |
@@ -4,7 +4,7 @@ |
15636 |
* -------- |
15637 |
* Author: Sean Hanna (smokingrope@×××××.com) |
15638 |
* Copyright: (c) 2006 Sean Hanna |
15639 |
- * Release Version: 1.0.8.11 |
15640 |
+ * Release Version: 1.0.8.12 |
15641 |
* Date Started: 04/23/2006 |
15642 |
* |
15643 |
* Standard Text File (No Syntax Highlighting). |
15644 |
@@ -77,8 +77,6 @@ $language_data = array ( |
15645 |
'PARSER_CONTROL' => array( |
15646 |
'ENABLE_FLAGS' => array( |
15647 |
'ALL' => GESHI_NEVER |
15648 |
- ), |
15649 |
- ) |
15650 |
+ ) |
15651 |
+ ) |
15652 |
); |
15653 |
- |
15654 |
-?> |
15655 |
|
15656 |
diff --git a/plugins/wp-syntax/geshi/geshi/thinbasic.php b/plugins/wp-syntax/geshi/geshi/thinbasic.php |
15657 |
index f54959e..0783b31 100644 |
15658 |
--- a/plugins/wp-syntax/geshi/geshi/thinbasic.php |
15659 |
+++ b/plugins/wp-syntax/geshi/geshi/thinbasic.php |
15660 |
@@ -4,7 +4,7 @@ |
15661 |
* ------ |
15662 |
* Author: Eros Olmi (eros.olmi@×××××××××.com) |
15663 |
* Copyright: (c) 2006 Eros Olmi (http://www.thinbasic.com), Nigel McNie (http://qbnz.com/highlighter) |
15664 |
- * Release Version: 1.0.8.11 |
15665 |
+ * Release Version: 1.0.8.12 |
15666 |
* Date Started: 2006/05/12 |
15667 |
* |
15668 |
* thinBasic language file for GeSHi. |
15669 |
@@ -864,5 +864,3 @@ $language_data = array ( |
15670 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
15671 |
) |
15672 |
); |
15673 |
- |
15674 |
-?> |
15675 |
|
15676 |
diff --git a/plugins/wp-syntax/geshi/geshi/tsql.php b/plugins/wp-syntax/geshi/geshi/tsql.php |
15677 |
index b4bf6bd..a990018 100644 |
15678 |
--- a/plugins/wp-syntax/geshi/geshi/tsql.php |
15679 |
+++ b/plugins/wp-syntax/geshi/geshi/tsql.php |
15680 |
@@ -4,7 +4,7 @@ |
15681 |
* -------- |
15682 |
* Author: Duncan Lock (dunc@×××××××××.uk) |
15683 |
* Copyright: (c) 2006 Duncan Lock (http://dflock.co.uk/), Nigel McNie (http://qbnz.com/highlighter) |
15684 |
- * Release Version: 1.0.8.11 |
15685 |
+ * Release Version: 1.0.8.12 |
15686 |
* Date Started: 2005/11/22 |
15687 |
* |
15688 |
* T-SQL language file for GeSHi. |
15689 |
@@ -305,11 +305,14 @@ $language_data = array ( |
15690 |
'fn_trace_geteventinfo', 'fn_trace_getfilterinfo', 'fn_trace_getinfo', |
15691 |
'fn_trace_gettable', 'fn_virtualfilestats','fn_listextendedproperty', |
15692 |
), |
15693 |
+ 5 => array( |
15694 |
+ 'ALL', 'AND', 'ANY', 'BETWEEN', 'CROSS', 'EXISTS', 'IN', 'JOIN', 'LIKE', 'NOT', 'NULL', |
15695 |
+ 'OR', 'OUTER', 'SOME', |
15696 |
+ ) |
15697 |
), |
15698 |
'SYMBOLS' => array( |
15699 |
'!', '!=', '%', '&', '&&', '(', ')', '*', '+', '-', '/', '<', '<<', '<=', |
15700 |
- '<=>', '<>', '=', '>', '>=', '>>', '^', 'ALL', 'AND', 'ANY', 'BETWEEN', 'CROSS', |
15701 |
- 'EXISTS', 'IN', 'JOIN', 'LIKE', 'NOT', 'NULL', 'OR', 'OUTER', 'SOME', '|', '||', '~' |
15702 |
+ '<=>', '<>', '=', '>', '>=', '>>', '^', '|', '||', '~' |
15703 |
), |
15704 |
'CASE_SENSITIVE' => array( |
15705 |
GESHI_COMMENTS => false, |
15706 |
@@ -317,13 +320,15 @@ $language_data = array ( |
15707 |
2 => false, |
15708 |
3 => false, |
15709 |
4 => false, |
15710 |
+ 5 => false |
15711 |
), |
15712 |
'STYLES' => array( |
15713 |
'KEYWORDS' => array( |
15714 |
1 => 'color: #0000FF;', |
15715 |
2 => 'color: #FF00FF;', |
15716 |
3 => 'color: #AF0000;', |
15717 |
- 4 => 'color: #AF0000;' |
15718 |
+ 4 => 'color: #AF0000;', |
15719 |
+ 5 => 'color: #808080;' |
15720 |
), |
15721 |
'COMMENTS' => array( |
15722 |
1 => 'color: #008080;', |
15723 |
@@ -357,7 +362,8 @@ $language_data = array ( |
15724 |
1 => '', |
15725 |
2 => '', |
15726 |
3 => '', |
15727 |
- 4 => '' |
15728 |
+ 4 => '', |
15729 |
+ 5 => '' |
15730 |
), |
15731 |
'OOLANG' => true, |
15732 |
'OBJECT_SPLITTERS' => array( |
15733 |
@@ -371,5 +377,3 @@ $language_data = array ( |
15734 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
15735 |
) |
15736 |
); |
15737 |
- |
15738 |
-?> |
15739 |
\ No newline at end of file |
15740 |
|
15741 |
diff --git a/plugins/wp-syntax/geshi/geshi/typoscript.php b/plugins/wp-syntax/geshi/geshi/typoscript.php |
15742 |
index 6751aaa..c548866 100644 |
15743 |
--- a/plugins/wp-syntax/geshi/geshi/typoscript.php |
15744 |
+++ b/plugins/wp-syntax/geshi/geshi/typoscript.php |
15745 |
@@ -4,7 +4,7 @@ |
15746 |
* -------- |
15747 |
* Author: Jan-Philipp Halle (typo3@×××××××.de) |
15748 |
* Copyright: (c) 2005 Jan-Philipp Halle (http://www.jphalle.de/) |
15749 |
- * Release Version: 1.0.8.11 |
15750 |
+ * Release Version: 1.0.8.12 |
15751 |
* Date Started: 2005/07/29 |
15752 |
* |
15753 |
* TypoScript language file for GeSHi. |
15754 |
@@ -220,7 +220,7 @@ $language_data = array ( |
15755 |
'REGEXPS' => array( |
15756 |
// xhtml tag |
15757 |
2 => array( |
15758 |
- GESHI_SEARCH => '(<)([a-zA-Z\\/][^\\/\\|]*?)(>)', |
15759 |
+ GESHI_SEARCH => '(<)([a-zA-Z\\/][^\\/\\\x7C]*?)(>)', |
15760 |
GESHI_REPLACE => '\\2', |
15761 |
GESHI_MODIFIERS => 's', |
15762 |
GESHI_BEFORE => '\\1', |
15763 |
@@ -294,7 +294,5 @@ $language_data = array ( |
15764 |
'SCRIPT_DELIMITERS' => array( |
15765 |
), |
15766 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
15767 |
- ), |
15768 |
+ ) |
15769 |
); |
15770 |
- |
15771 |
-?> |
15772 |
|
15773 |
diff --git a/plugins/wp-syntax/geshi/geshi/unicon.php b/plugins/wp-syntax/geshi/geshi/unicon.php |
15774 |
index 6fe62d0..7bc377b 100644 |
15775 |
--- a/plugins/wp-syntax/geshi/geshi/unicon.php |
15776 |
+++ b/plugins/wp-syntax/geshi/geshi/unicon.php |
15777 |
@@ -4,7 +4,7 @@ |
15778 |
* -------- |
15779 |
* Author: Matt Oates (mattoates@×××××.com) |
15780 |
* Copyright: (c) 2010 Matt Oates (http://mattoates.co.uk) |
15781 |
- * Release Version: 1.0.8.11 |
15782 |
+ * Release Version: 1.0.8.12 |
15783 |
* Date Started: 2010/04/20 |
15784 |
* |
15785 |
* Unicon the Unified Extended Dialect of Icon language file for GeSHi. |
15786 |
@@ -206,5 +206,3 @@ $language_data = array( |
15787 |
) |
15788 |
) |
15789 |
); |
15790 |
- |
15791 |
-?> |
15792 |
\ No newline at end of file |
15793 |
|
15794 |
diff --git a/plugins/wp-syntax/geshi/geshi/upc.php b/plugins/wp-syntax/geshi/geshi/upc.php |
15795 |
index e053032..b1e873e 100644 |
15796 |
--- a/plugins/wp-syntax/geshi/geshi/upc.php |
15797 |
+++ b/plugins/wp-syntax/geshi/geshi/upc.php |
15798 |
@@ -8,7 +8,7 @@ |
15799 |
* - Jack Lloyd (lloyd@×××××××××.net) |
15800 |
* - Michael Mol (mikemol@×××××.com) |
15801 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
15802 |
- * Release Version: 1.0.8.11 |
15803 |
+ * Release Version: 1.0.8.12 |
15804 |
* Date Started: 2004/06/04 |
15805 |
* |
15806 |
* UPC language file for GeSHi. |
15807 |
@@ -266,5 +266,3 @@ $language_data = array ( |
15808 |
), |
15809 |
'TAB_WIDTH' => 4 |
15810 |
); |
15811 |
- |
15812 |
-?> |
15813 |
\ No newline at end of file |
15814 |
|
15815 |
diff --git a/plugins/wp-syntax/geshi/geshi/urbi.php b/plugins/wp-syntax/geshi/geshi/urbi.php |
15816 |
index a7353ea..ec2aec4 100644 |
15817 |
--- a/plugins/wp-syntax/geshi/geshi/urbi.php |
15818 |
+++ b/plugins/wp-syntax/geshi/geshi/urbi.php |
15819 |
@@ -4,7 +4,7 @@ |
15820 |
* ------- |
15821 |
* Author: Alexandre Morgand (morgand.alexandre@×××××.com) |
15822 |
* Copyright: (c) 2011 Morgand (http://gostai.com) |
15823 |
- * Release Version: 1.0.8.11 |
15824 |
+ * Release Version: 1.0.8.12 |
15825 |
* Date Started: 2011/09/10 |
15826 |
* |
15827 |
* Urbi language file for GeSHi. |
15828 |
@@ -196,5 +196,3 @@ $language_data = array ( |
15829 |
), |
15830 |
'TAB_WIDTH' => 4, |
15831 |
); |
15832 |
- |
15833 |
-?> |
15834 |
|
15835 |
diff --git a/plugins/wp-syntax/geshi/geshi/uscript.php b/plugins/wp-syntax/geshi/geshi/uscript.php |
15836 |
index 58cdb8d..f76a2dc 100644 |
15837 |
--- a/plugins/wp-syntax/geshi/geshi/uscript.php |
15838 |
+++ b/plugins/wp-syntax/geshi/geshi/uscript.php |
15839 |
@@ -4,7 +4,7 @@ |
15840 |
* --------------------------------- |
15841 |
* Author: pospi (pospi@×××××××.com) |
15842 |
* Copyright: (c) 2007 pospi (http://pospi.spadgos.com) |
15843 |
- * Release Version: 1.0.8.11 |
15844 |
+ * Release Version: 1.0.8.12 |
15845 |
* Date Started: 2007/05/21 |
15846 |
* |
15847 |
* UnrealScript language file for GeSHi. |
15848 |
@@ -295,5 +295,3 @@ $language_data = array ( |
15849 |
) |
15850 |
) |
15851 |
); |
15852 |
- |
15853 |
-?> |
15854 |
|
15855 |
diff --git a/plugins/wp-syntax/geshi/geshi/vala.php b/plugins/wp-syntax/geshi/geshi/vala.php |
15856 |
index acac57e..a823dbf 100644 |
15857 |
--- a/plugins/wp-syntax/geshi/geshi/vala.php |
15858 |
+++ b/plugins/wp-syntax/geshi/geshi/vala.php |
15859 |
@@ -4,7 +4,7 @@ |
15860 |
* ---------- |
15861 |
* Author: Nicolas Joseph (nicolas.joseph@×××××××.org) |
15862 |
* Copyright: (c) 2009 Nicolas Joseph |
15863 |
- * Release Version: 1.0.8.11 |
15864 |
+ * Release Version: 1.0.8.12 |
15865 |
* Date Started: 2009/04/29 |
15866 |
* |
15867 |
* Vala language file for GeSHi. |
15868 |
@@ -147,5 +147,3 @@ $language_data = array ( |
15869 |
) |
15870 |
) |
15871 |
); |
15872 |
- |
15873 |
-?> |
15874 |
|
15875 |
diff --git a/plugins/wp-syntax/geshi/geshi/vb.php b/plugins/wp-syntax/geshi/geshi/vb.php |
15876 |
index 528e7cd..bcfe614 100644 |
15877 |
--- a/plugins/wp-syntax/geshi/geshi/vb.php |
15878 |
+++ b/plugins/wp-syntax/geshi/geshi/vb.php |
15879 |
@@ -5,7 +5,7 @@ |
15880 |
* Author: Roberto Rossi (rsoftware@××××××××××.org) |
15881 |
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), |
15882 |
* Nigel McNie (http://qbnz.com/highlighter) |
15883 |
- * Release Version: 1.0.8.11 |
15884 |
+ * Release Version: 1.0.8.12 |
15885 |
* Date Started: 2004/08/30 |
15886 |
* |
15887 |
* Visual Basic language file for GeSHi. |
15888 |
@@ -50,7 +50,7 @@ $language_data = array ( |
15889 |
'COMMENT_MULTI' => array(), |
15890 |
'COMMENT_REGEXP' => array( |
15891 |
// Comments (either single or multiline with _ |
15892 |
- 1 => '/\'.*(?<! _)\n/sU', |
15893 |
+ 1 => '/\'.*(?<! _)$/sUm', |
15894 |
), |
15895 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
15896 |
'QUOTEMARKS' => array('"'), |
15897 |
@@ -65,16 +65,16 @@ $language_data = array ( |
15898 |
'Call', 'Private', 'Public', 'Sub', 'Explicit', 'Compare', 'Exit' |
15899 |
), |
15900 |
3 => array( |
15901 |
- 'And', 'Case', 'Do', 'Each', 'Else', 'ElseIf', 'For', |
15902 |
- 'Goto', 'If', 'Is', 'Loop', 'Next', 'Not', 'Or', 'Select', 'Step', |
15903 |
- 'Then', 'To', 'Until', 'While', 'With', 'Xor', 'WithEvents', |
15904 |
- 'DoEvents', 'Close', 'Like', 'In', 'End' |
15905 |
+ 'And', 'Case', 'Do', 'Each', 'Else', 'ElseIf', 'End', 'For', 'GoSub', |
15906 |
+ 'Goto', 'If', 'Is', 'Loop', 'Next', 'Not', 'Or', 'Resume', 'Select', |
15907 |
+ 'Step', 'Then', 'To', 'Until', 'While', 'With', 'Xor', 'WithEvents', |
15908 |
+ 'DoEvents', 'Close', 'Like', 'In' |
15909 |
), |
15910 |
4 => array( |
15911 |
'As', 'Dim', 'Get', 'Set', 'ReDim', 'Error', |
15912 |
- 'Resume', 'Declare', 'Let', 'ByRef', 'ByVal', |
15913 |
+ 'Declare', 'Let', 'ByRef', 'ByVal', |
15914 |
'Optional', 'Property', 'Control', 'UBound', 'Mod', |
15915 |
- 'GoSub', 'Implements', 'Input', 'LBound', 'Static', 'Stop', |
15916 |
+ 'Implements', 'Input', 'LBound', 'Static', 'Stop', |
15917 |
'Type', 'TypeOf', 'On', 'Open', 'Output', 'ParamArray', |
15918 |
'Preserve', 'Print', 'RaiseEvent', 'Random', 'Line' |
15919 |
), |
15920 |
@@ -153,5 +153,3 @@ $language_data = array ( |
15921 |
) |
15922 |
) |
15923 |
); |
15924 |
- |
15925 |
-?> |
15926 |
\ No newline at end of file |
15927 |
|
15928 |
diff --git a/plugins/wp-syntax/geshi/geshi/vbnet.php b/plugins/wp-syntax/geshi/geshi/vbnet.php |
15929 |
index 758df98..e4d1464 100644 |
15930 |
--- a/plugins/wp-syntax/geshi/geshi/vbnet.php |
15931 |
+++ b/plugins/wp-syntax/geshi/geshi/vbnet.php |
15932 |
@@ -4,7 +4,7 @@ |
15933 |
* --------- |
15934 |
* Author: Alan Juden (alan@×××××××××.org) |
15935 |
* Copyright: (c) 2004 Alan Juden, Nigel McNie (http://qbnz.com/highlighter) |
15936 |
- * Release Version: 1.0.8.11 |
15937 |
+ * Release Version: 1.0.8.12 |
15938 |
* Date Started: 2004/06/04 |
15939 |
* |
15940 |
* VB.NET language file for GeSHi. |
15941 |
@@ -178,5 +178,3 @@ $language_data = array ( |
15942 |
) |
15943 |
) |
15944 |
); |
15945 |
- |
15946 |
-?> |
15947 |
\ No newline at end of file |
15948 |
|
15949 |
diff --git a/plugins/wp-syntax/geshi/geshi/vb.php b/plugins/wp-syntax/geshi/geshi/vbscript.php |
15950 |
similarity index 55% |
15951 |
copy from plugins/wp-syntax/geshi/geshi/vb.php |
15952 |
copy to plugins/wp-syntax/geshi/geshi/vbscript.php |
15953 |
index 528e7cd..6db3bbd 100644 |
15954 |
--- a/plugins/wp-syntax/geshi/geshi/vb.php |
15955 |
+++ b/plugins/wp-syntax/geshi/geshi/vbscript.php |
15956 |
@@ -1,24 +1,19 @@ |
15957 |
<?php |
15958 |
/************************************************************************************* |
15959 |
- * vb.php |
15960 |
+ * vbscript.php |
15961 |
* ------ |
15962 |
* Author: Roberto Rossi (rsoftware@××××××××××.org) |
15963 |
* Copyright: (c) 2004 Roberto Rossi (http://rsoftware.altervista.org), |
15964 |
- * Nigel McNie (http://qbnz.com/highlighter) |
15965 |
- * Release Version: 1.0.8.11 |
15966 |
- * Date Started: 2004/08/30 |
15967 |
+ * Nigel McNie (http://qbnz.com/highlighter), |
15968 |
+ * Rory Prendergast (http://www.tanium.com) |
15969 |
+ * Release Version: 1.0.8.12 |
15970 |
+ * Date Started: 2012/08/20 |
15971 |
* |
15972 |
- * Visual Basic language file for GeSHi. |
15973 |
+ * VBScript language file for GeSHi. |
15974 |
* |
15975 |
* CHANGES |
15976 |
* ------- |
15977 |
- * 2008/08/27 (1.0.8.1) |
15978 |
- * - changed keyword list for better Visual Studio compliance |
15979 |
- * 2008/08/26 (1.0.8.1) |
15980 |
- * - Fixed multiline comments |
15981 |
- * 2004/11/27 (1.0.1) |
15982 |
- * - Added support for multiple object splitters |
15983 |
- * 2004/08/30 (1.0.0) |
15984 |
+ * 2012/08/20 (1.0.0) |
15985 |
* - First Release |
15986 |
* |
15987 |
* TODO (updated 2004/11/27) |
15988 |
@@ -45,7 +40,7 @@ |
15989 |
************************************************************************************/ |
15990 |
|
15991 |
$language_data = array ( |
15992 |
- 'LANG_NAME' => 'Visual Basic', |
15993 |
+ 'LANG_NAME' => 'VBScript', |
15994 |
'COMMENT_SINGLE' => array(), |
15995 |
'COMMENT_MULTI' => array(), |
15996 |
'COMMENT_REGEXP' => array( |
15997 |
@@ -57,53 +52,57 @@ $language_data = array ( |
15998 |
'ESCAPE_CHAR' => '', |
15999 |
'KEYWORDS' => array( |
16000 |
1 => array( |
16001 |
- 'Binary', 'Boolean', 'Byte', 'Currency', 'Date', 'Decimal', 'Double', |
16002 |
- 'String', 'Enum', 'Integer', 'Long', 'Object', 'Single', 'Variant' |
16003 |
+ 'Empty', 'Nothing', 'Null', 'vbArray', 'vbBoolean', 'vbByte', |
16004 |
+ 'vbCr', 'vbCrLf', 'vbCurrency', 'vbDate', 'vbDouble', 'vbEmpty', |
16005 |
+ 'vbError', 'vbFirstFourDays', 'vbFirstFullWeek', 'vbFirstJan1', |
16006 |
+ 'vbFormFeed', 'vbFriday', 'vbInteger', 'vbLf', 'vbLong', 'vbMonday', |
16007 |
+ 'vbNewLine', 'vbNull', 'vbNullChar', 'vbNullString', 'vbObject', |
16008 |
+ 'vbSaturday', 'vbSingle', 'vbString', 'vbSunday', 'vbTab', |
16009 |
+ 'vbThursday', 'vbTuesday', 'vbUseSystem', 'vbUseSystemDayOfWeek', |
16010 |
+ 'vbVariant', 'vbWednesday', 'FALSE', 'TRUE' |
16011 |
), |
16012 |
2 => array( |
16013 |
- 'CreateObject', 'GetObject', 'New', 'Option', 'Function', |
16014 |
- 'Call', 'Private', 'Public', 'Sub', 'Explicit', 'Compare', 'Exit' |
16015 |
+ 'bs', 'Array', 'Asc', 'Atn', 'CBool', 'CByte', 'CDate', 'CDbl', 'Chr', |
16016 |
+ 'CInt', 'CLng', 'Cos', 'CreateObject', 'CSng', 'CStr', 'Date', 'DateAdd', |
16017 |
+ 'DateDiff', 'DatePart', 'DateSerial', 'DateValue', 'Day', 'Eval', 'Exp', |
16018 |
+ 'Filter', 'Fix', 'FormatDateTime', 'FormatNumber', 'FormatPercent', |
16019 |
+ 'GetObject', 'Hex', 'Hour', 'InputBox', 'InStr', 'InstrRev', 'Int', |
16020 |
+ 'IsArray', 'IsDate', 'IsEmpty', 'IsNull', 'IsNumeric', 'IsObject', 'Join', |
16021 |
+ 'LBound', 'LCase', 'Left', 'Len', 'Log', 'LTrim', 'Mid', 'Minute', 'Month', |
16022 |
+ 'MonthName', 'MsgBox', 'Now', 'Oct', 'Replace', 'RGB', 'Right', 'Rnd', |
16023 |
+ 'Round', 'RTrim', 'ScriptEngine', 'ScriptEngineBuildVersion', |
16024 |
+ 'ScriptEngineMajorVersion', 'ScriptEngineMinorVersion', 'Second', |
16025 |
+ 'Sgn', 'Sin', 'Space', 'Split', 'Sqr', 'StrComp', 'String', 'StrReverse', |
16026 |
+ 'Tan', 'Time', 'TimeSerial', 'TimeValue', 'Trim', 'TypeName', 'UBound', |
16027 |
+ 'UCase', 'VarType', 'Weekday', 'WeekdayName', 'Year' |
16028 |
), |
16029 |
3 => array( |
16030 |
- 'And', 'Case', 'Do', 'Each', 'Else', 'ElseIf', 'For', |
16031 |
- 'Goto', 'If', 'Is', 'Loop', 'Next', 'Not', 'Or', 'Select', 'Step', |
16032 |
- 'Then', 'To', 'Until', 'While', 'With', 'Xor', 'WithEvents', |
16033 |
- 'DoEvents', 'Close', 'Like', 'In', 'End' |
16034 |
+ 'Call', 'Case', 'Const', 'Dim', 'Do', 'Each', 'Else', 'End', 'Erase', |
16035 |
+ 'Execute', 'Exit', 'For', 'Function', 'Gosub', 'Goto', 'If', 'Loop', |
16036 |
+ 'Next', 'On Error', 'Option Explicit', 'Private', 'Public', |
16037 |
+ 'Randomize', 'ReDim', 'Rem', 'Resume', 'Select', 'Set', 'Sub', 'Then', |
16038 |
+ 'Wend', 'While', 'With', 'In', 'To', 'Step' |
16039 |
), |
16040 |
4 => array( |
16041 |
- 'As', 'Dim', 'Get', 'Set', 'ReDim', 'Error', |
16042 |
- 'Resume', 'Declare', 'Let', 'ByRef', 'ByVal', |
16043 |
- 'Optional', 'Property', 'Control', 'UBound', 'Mod', |
16044 |
- 'GoSub', 'Implements', 'Input', 'LBound', 'Static', 'Stop', |
16045 |
- 'Type', 'TypeOf', 'On', 'Open', 'Output', 'ParamArray', |
16046 |
- 'Preserve', 'Print', 'RaiseEvent', 'Random', 'Line' |
16047 |
- ), |
16048 |
- 5 => array( |
16049 |
- 'Nothing', 'False', 'True', 'Null', 'Empty' |
16050 |
- ), |
16051 |
- 6 => array( |
16052 |
- 'ErrorHandler','ExitProc', 'PublishReport' |
16053 |
+ 'And', 'Eqv', 'Imp', 'Is', 'Mod', 'Not', 'Or', 'Xor' |
16054 |
), |
16055 |
), |
16056 |
'SYMBOLS' => array( |
16057 |
+ '-', '&', '*', '/', '\\', '^', '+', '<', '<=', '<>', '=', '>', '>=' |
16058 |
), |
16059 |
'CASE_SENSITIVE' => array( |
16060 |
GESHI_COMMENTS => false, |
16061 |
1 => false, |
16062 |
2 => false, |
16063 |
3 => false, |
16064 |
- 4 => false, |
16065 |
- 5 => false, |
16066 |
- 6 => false |
16067 |
+ 4 => false |
16068 |
), |
16069 |
'STYLES' => array( |
16070 |
'KEYWORDS' => array( |
16071 |
1 => 'color: #F660AB; font-weight: bold;', |
16072 |
2 => 'color: #E56717; font-weight: bold;', |
16073 |
3 => 'color: #8D38C9; font-weight: bold;', |
16074 |
- 4 => 'color: #151B8D; font-weight: bold;', |
16075 |
- 5 => 'color: #00C2FF; font-weight: bold;', |
16076 |
- 6 => 'color: #3EA99F; font-weight: bold;' |
16077 |
+ 4 => 'color: #151B8D; font-weight: bold;' |
16078 |
), |
16079 |
'COMMENTS' => array( |
16080 |
1 => 'color: #008000;' |
16081 |
@@ -131,9 +130,7 @@ $language_data = array ( |
16082 |
1 => '', |
16083 |
2 => '', |
16084 |
3 => '', |
16085 |
- 4 => '', |
16086 |
- 5 => '', |
16087 |
- 6 => '' |
16088 |
+ 4 => '' |
16089 |
), |
16090 |
'OOLANG' => false, |
16091 |
'OBJECT_SPLITTERS' => array( |
16092 |
@@ -146,12 +143,11 @@ $language_data = array ( |
16093 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
16094 |
), |
16095 |
'PARSER_CONTROL' => array( |
16096 |
+ 'KEYWORDS' => array( |
16097 |
+ 'SPACE_AS_WHITESPACE' => true |
16098 |
+ ), |
16099 |
'ENABLE_FLAGS' => array( |
16100 |
- 'BRACKETS' => GESHI_NEVER, |
16101 |
- 'SYMBOLS' => GESHI_NEVER, |
16102 |
- 'NUMBERS' => GESHI_NEVER |
16103 |
+ 'BRACKETS' => GESHI_NEVER |
16104 |
) |
16105 |
) |
16106 |
); |
16107 |
- |
16108 |
-?> |
16109 |
\ No newline at end of file |
16110 |
|
16111 |
diff --git a/plugins/wp-syntax/geshi/geshi/vedit.php b/plugins/wp-syntax/geshi/geshi/vedit.php |
16112 |
index 19b2bdb..72f4b53 100644 |
16113 |
--- a/plugins/wp-syntax/geshi/geshi/vedit.php |
16114 |
+++ b/plugins/wp-syntax/geshi/geshi/vedit.php |
16115 |
@@ -4,7 +4,7 @@ |
16116 |
* -------- |
16117 |
* Author: Pauli Lindgren (pauli0212@×××××.com) |
16118 |
* Copyright: (c) 2009 Pauli Lindgren (http://koti.mbnet.fi/pkl/) |
16119 |
- * Release Version: 1.0.8.11 |
16120 |
+ * Release Version: 1.0.8.12 |
16121 |
* Date Started: 2009/12/16 |
16122 |
* |
16123 |
* Vedit macro language language file for GeSHi. |
16124 |
@@ -99,5 +99,3 @@ $language_data = array( |
16125 |
'SCRIPT_DELIMITERS' => array(), |
16126 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
16127 |
); |
16128 |
- |
16129 |
-?> |
16130 |
\ No newline at end of file |
16131 |
|
16132 |
diff --git a/plugins/wp-syntax/geshi/geshi/verilog.php b/plugins/wp-syntax/geshi/geshi/verilog.php |
16133 |
index 2bf66d1..77e5927 100644 |
16134 |
--- a/plugins/wp-syntax/geshi/geshi/verilog.php |
16135 |
+++ b/plugins/wp-syntax/geshi/geshi/verilog.php |
16136 |
@@ -2,9 +2,9 @@ |
16137 |
/** |
16138 |
* verilog.php |
16139 |
* ----------- |
16140 |
- * Author: G�nter Dannoritzer <dannoritzer@×××.de> |
16141 |
- * Copyright: (C) 2008 Guenter Dannoritzer |
16142 |
- * Release Version: 1.0.8.11 |
16143 |
+ * Author: Günter Dannoritzer <dannoritzer@×××.de> |
16144 |
+ * Copyright: (C) 2008 Günter Dannoritzer |
16145 |
+ * Release Version: 1.0.8.12 |
16146 |
* Date Started: 2008/05/28 |
16147 |
* |
16148 |
* Verilog language file for GeSHi. |
16149 |
@@ -19,6 +19,9 @@ |
16150 |
* TODO (updated 2008/05/29) |
16151 |
* ------------------------- |
16152 |
* |
16153 |
+ * 2013/01/08 |
16154 |
+ * - extended keywords to include system keywords |
16155 |
+ * |
16156 |
************************************************************************************* |
16157 |
* |
16158 |
* This file is part of GeSHi. |
16159 |
@@ -49,22 +52,41 @@ $language_data = array ( |
16160 |
'ESCAPE_CHAR' => '\\', |
16161 |
'KEYWORDS' => array( |
16162 |
// keywords |
16163 |
- 1 => array('always', 'and', 'assign', 'begin', 'buf', 'bufif0', 'bufif1', 'case', |
16164 |
- 'casex', 'casez', 'cmos', 'deassign', 'default', 'defparam', |
16165 |
- 'disable', 'edge', 'else', 'end', 'endcase', 'endfunction', |
16166 |
- 'endmodule', 'endprimitive', 'endspecify', 'endtable', 'endtask', |
16167 |
- 'event', 'fork', 'for', 'force', 'forever', 'function', 'highz0', |
16168 |
- 'highz1', 'if', 'ifnone', 'initial', 'inout', 'input', 'integer', |
16169 |
- 'join', 'large', 'macromodule', 'medium', 'module', 'nand', |
16170 |
- 'negedge', 'nmos', 'nor', 'not', 'notif0', 'notif1', 'or', |
16171 |
- 'output', 'parameter', 'pmos', 'posedge', 'primitive', 'pull0', |
16172 |
- 'pull1', 'pulldown', 'pullup', 'rcmos', 'real', 'realtime', 'reg', |
16173 |
- 'release', 'repeat', 'rnmos', 'rpmos', 'rtran', 'rtranif0', |
16174 |
- 'rtranif1', 'scalared', 'small', 'specify', 'specparam', |
16175 |
- 'strong0', 'strong1', 'supply0', 'supply1', 'table', 'task', |
16176 |
- 'time', 'tran', 'tranif0', 'tranif1', 'tri', 'tri0', 'tri1', |
16177 |
- 'triand', 'trior', 'trireg', 'vectored', 'wait', 'wand', 'weak0', |
16178 |
- 'weak1', 'while', 'wire', 'wor', 'xnor', 'xor' |
16179 |
+ 1 => array( |
16180 |
+ 'accept_on','alias', |
16181 |
+ 'always','always_comb','always_ff','always_latch','and','assert', |
16182 |
+ 'assign','assume','automatic','before','begin','bind','bins','binsof', |
16183 |
+ 'bit','break','buf','bufif0','bufif1','byte','case','casex','casez', |
16184 |
+ 'cell','chandle','checker','class','clocking','cmos','config','const', |
16185 |
+ 'constraint','context','continue','cover','covergroup','coverpoint','cross', |
16186 |
+ 'deassign','default','defparam','design','disable','dist','do','edge','else', |
16187 |
+ 'end','endcase','endchecker','endclass','endclocking','endconfig', |
16188 |
+ 'endfunction','endgenerate','endgroup','endinterface','endmodule', |
16189 |
+ 'endpackage','endprimitive','endprogram','endproperty','endspecify', |
16190 |
+ 'endsequence','endtable','endtask','enum','event','eventually','expect', |
16191 |
+ 'export','extends','extern','final','first_match','for','force','foreach', |
16192 |
+ 'forever','fork','forkjoin','function','generate','genvar','global', |
16193 |
+ 'highz0','highz1','if','iff','ifnone','ignore_bins','illegal_bins', |
16194 |
+ 'implies','import','incdir','include','initial','inout','input','inside', |
16195 |
+ 'instance','int','integer','interface','intersect','join','join_any', |
16196 |
+ 'join_none','large','let','liblist','library','local','localparam', |
16197 |
+ 'logic','longint','macromodule','matches','medium','modport','module','nand', |
16198 |
+ 'negedge','new','nexttime','nmos','nor','noshowcancelled','not','notif0', |
16199 |
+ 'notif1','null','or','output','package','packed','parameter','pmos','posedge', |
16200 |
+ 'primitive','priority','program','property','protected','pull0','pull1', |
16201 |
+ 'pulldown','pullup','pulsestyle_ondetect','pulsestyle_onevent','pure', |
16202 |
+ 'rand','randc','randcase','randsequence','rcmos','real','realtime','ref', |
16203 |
+ 'reg','reject_on','release','repeat','restrict','return','rnmos','rpmos', |
16204 |
+ 'rtran','rtranif0','rtranif1','s_always','s_eventually','s_nexttime', |
16205 |
+ 's_until','s_until_with','scalared','sequence','shortint','shortreal', |
16206 |
+ 'showcancelled','signed','small','solve','specify','specparam','static', |
16207 |
+ 'string','strong','strong0','strong1','struct','super','supply0','supply1', |
16208 |
+ 'sync_accept_on','sync_reject_on','table','tagged','task','this','throughout', |
16209 |
+ 'time','timeprecision','timeunit','tran','tranif0','tranif1','tri','tri0', |
16210 |
+ 'tri1','triand','trior','trireg','type','typedef','union','unique','unique0', |
16211 |
+ 'unsigned','until','until_with','untyped','use','uwire','var','vectored', |
16212 |
+ 'virtual','void','wait','wait_order','wand','weak','weak0','weak1','while', |
16213 |
+ 'wildcard','wire','with','within','wor','xnor','xor' |
16214 |
), |
16215 |
// system tasks |
16216 |
2 => array( |
16217 |
@@ -169,5 +191,3 @@ $language_data = array ( |
16218 |
), |
16219 |
'TAB_WIDTH' => 4 |
16220 |
); |
16221 |
- |
16222 |
-?> |
16223 |
|
16224 |
diff --git a/plugins/wp-syntax/geshi/geshi/vhdl.php b/plugins/wp-syntax/geshi/geshi/vhdl.php |
16225 |
index a8f37e6..c89a098 100644 |
16226 |
--- a/plugins/wp-syntax/geshi/geshi/vhdl.php |
16227 |
+++ b/plugins/wp-syntax/geshi/geshi/vhdl.php |
16228 |
@@ -6,7 +6,7 @@ |
16229 |
* Contributors: |
16230 |
* - Kevin Thibedeau (kevinpt@×××××.com) |
16231 |
* Copyright: (c) 2005 Alexander Krause |
16232 |
- * Release Version: 1.0.8.11 |
16233 |
+ * Release Version: 1.0.8.12 |
16234 |
* Date Started: 2005/06/15 |
16235 |
* |
16236 |
* VHDL (VHSICADL, very high speed integrated circuit HDL) language file for GeSHi. |
16237 |
@@ -179,5 +179,3 @@ $language_data = array ( |
16238 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
16239 |
) |
16240 |
); |
16241 |
- |
16242 |
-?> |
16243 |
|
16244 |
diff --git a/plugins/wp-syntax/geshi/geshi/vim.php b/plugins/wp-syntax/geshi/geshi/vim.php |
16245 |
index fe7e5e0..4353943 100644 |
16246 |
--- a/plugins/wp-syntax/geshi/geshi/vim.php |
16247 |
+++ b/plugins/wp-syntax/geshi/geshi/vim.php |
16248 |
@@ -6,7 +6,7 @@ |
16249 |
* Contributors: |
16250 |
* - Laurent Peuch (psycojoker@×××××.com) |
16251 |
* Copyright: (c) 2008 Swaroop C H (http://www.swaroopch.com) |
16252 |
- * Release Version: 1.0.8.11 |
16253 |
+ * Release Version: 1.0.8.12 |
16254 |
* Date Started: 2008/10/19 |
16255 |
* |
16256 |
* Vim scripting language file for GeSHi. |
16257 |
@@ -416,5 +416,3 @@ $language_data = array( |
16258 |
'SCRIPT_DELIMITERS' => array(), |
16259 |
'HIGHLIGHT_STRICT_BLOCK' => array() |
16260 |
); |
16261 |
- |
16262 |
-?> |
16263 |
|
16264 |
diff --git a/plugins/wp-syntax/geshi/geshi/visualfoxpro.php b/plugins/wp-syntax/geshi/geshi/visualfoxpro.php |
16265 |
index 123a3db..e3cd748 100644 |
16266 |
--- a/plugins/wp-syntax/geshi/geshi/visualfoxpro.php |
16267 |
+++ b/plugins/wp-syntax/geshi/geshi/visualfoxpro.php |
16268 |
@@ -4,7 +4,7 @@ |
16269 |
* ---------------- |
16270 |
* Author: Roberto Armellin (r.armellin@×××.it) |
16271 |
* Copyright: (c) 2004 Roberto Armellin, Nigel McNie (http://qbnz.com/highlighter/) |
16272 |
- * Release Version: 1.0.8.11 |
16273 |
+ * Release Version: 1.0.8.12 |
16274 |
* Date Started: 2004/09/17 |
16275 |
* |
16276 |
* Visual FoxPro language file for GeSHi. |
16277 |
@@ -452,5 +452,3 @@ $language_data = array ( |
16278 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
16279 |
) |
16280 |
); |
16281 |
- |
16282 |
-?> |
16283 |
\ No newline at end of file |
16284 |
|
16285 |
diff --git a/plugins/wp-syntax/geshi/geshi/visualprolog.php b/plugins/wp-syntax/geshi/geshi/visualprolog.php |
16286 |
index d36f1c6..1a05e75 100644 |
16287 |
--- a/plugins/wp-syntax/geshi/geshi/visualprolog.php |
16288 |
+++ b/plugins/wp-syntax/geshi/geshi/visualprolog.php |
16289 |
@@ -4,7 +4,7 @@ |
16290 |
* ---------- |
16291 |
* Author: Thomas Linder Puls (puls@×××.dk) |
16292 |
* Copyright: (c) 2008 Thomas Linder Puls (puls@×××.dk) |
16293 |
- * Release Version: 1.0.8.11 |
16294 |
+ * Release Version: 1.0.8.12 |
16295 |
* Date Started: 2008/11/20 |
16296 |
* |
16297 |
* Visual Prolog language file for GeSHi. |
16298 |
@@ -125,5 +125,3 @@ $language_data = array ( |
16299 |
), |
16300 |
'TAB_WIDTH' => 4 |
16301 |
); |
16302 |
- |
16303 |
-?> |
16304 |
|
16305 |
diff --git a/plugins/wp-syntax/geshi/geshi/whitespace.php b/plugins/wp-syntax/geshi/geshi/whitespace.php |
16306 |
index 58f3963..4a6957b 100644 |
16307 |
--- a/plugins/wp-syntax/geshi/geshi/whitespace.php |
16308 |
+++ b/plugins/wp-syntax/geshi/geshi/whitespace.php |
16309 |
@@ -4,7 +4,7 @@ |
16310 |
* ---------- |
16311 |
* Author: Benny Baumann (BenBE@×××××.org) |
16312 |
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/) |
16313 |
- * Release Version: 1.0.8.11 |
16314 |
+ * Release Version: 1.0.8.12 |
16315 |
* Date Started: 2009/10/31 |
16316 |
* |
16317 |
* Whitespace language file for GeSHi. |
16318 |
@@ -117,5 +117,3 @@ $language_data = array ( |
16319 |
) |
16320 |
) |
16321 |
); |
16322 |
- |
16323 |
-?> |
16324 |
|
16325 |
diff --git a/plugins/wp-syntax/geshi/geshi/whois.php b/plugins/wp-syntax/geshi/geshi/whois.php |
16326 |
index a89e473..0eebde2 100644 |
16327 |
--- a/plugins/wp-syntax/geshi/geshi/whois.php |
16328 |
+++ b/plugins/wp-syntax/geshi/geshi/whois.php |
16329 |
@@ -4,7 +4,7 @@ |
16330 |
* -------- |
16331 |
* Author: Benny Baumann (BenBE@×××××.org) |
16332 |
* Copyright: (c) 2008 Benny Baumann (http://qbnz.com/highlighter/) |
16333 |
- * Release Version: 1.0.8.11 |
16334 |
+ * Release Version: 1.0.8.12 |
16335 |
* Date Started: 2008/09/14 |
16336 |
* |
16337 |
* Whois response (RPSL format) language file for GeSHi. |
16338 |
@@ -168,14 +168,11 @@ $language_data = array ( |
16339 |
'ENABLE_FLAGS' => array( |
16340 |
'BRACKETS' => GESHI_NEVER, |
16341 |
'SYMBOLS' => GESHI_NEVER, |
16342 |
- 'BRACKETS' => GESHI_NEVER, |
16343 |
'STRINGS' => GESHI_NEVER, |
16344 |
'ESCAPE_CHAR' => GESHI_NEVER, |
16345 |
'NUMBERS' => GESHI_NEVER, |
16346 |
'METHODS' => GESHI_NEVER, |
16347 |
'SCRIPT' => GESHI_NEVER |
16348 |
) |
16349 |
- ), |
16350 |
+ ) |
16351 |
); |
16352 |
- |
16353 |
-?> |
16354 |
\ No newline at end of file |
16355 |
|
16356 |
diff --git a/plugins/wp-syntax/geshi/geshi/winbatch.php b/plugins/wp-syntax/geshi/geshi/winbatch.php |
16357 |
index 3599a02..bae96a0 100644 |
16358 |
--- a/plugins/wp-syntax/geshi/geshi/winbatch.php |
16359 |
+++ b/plugins/wp-syntax/geshi/geshi/winbatch.php |
16360 |
@@ -4,7 +4,7 @@ |
16361 |
* ------------ |
16362 |
* Author: Craig Storey (storey.craig@×××××.com) |
16363 |
* Copyright: (c) 2004 Craig Storey (craig.xcottawa.ca) |
16364 |
- * Release Version: 1.0.8.11 |
16365 |
+ * Release Version: 1.0.8.12 |
16366 |
* Date Started: 2006/05/19 |
16367 |
* |
16368 |
* WinBatch language file for GeSHi. |
16369 |
@@ -365,5 +365,3 @@ $language_data = array ( |
16370 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
16371 |
) |
16372 |
); |
16373 |
- |
16374 |
-?> |
16375 |
\ No newline at end of file |
16376 |
|
16377 |
diff --git a/plugins/wp-syntax/geshi/geshi/xbasic.php b/plugins/wp-syntax/geshi/geshi/xbasic.php |
16378 |
index 2edede3..4f9cd80 100644 |
16379 |
--- a/plugins/wp-syntax/geshi/geshi/xbasic.php |
16380 |
+++ b/plugins/wp-syntax/geshi/geshi/xbasic.php |
16381 |
@@ -4,7 +4,7 @@ |
16382 |
* ---------- |
16383 |
* Author: José Gabriel Moya Yangüela (josemoya@×××××.com) |
16384 |
* Copyright: (c) 2005 José Gabriel Moya Yangüela (http://aprenderadesaprender.6te.net) |
16385 |
- * Release Version: 1.0.8.11 |
16386 |
+ * Release Version: 1.0.8.12 |
16387 |
* Date Started: 2005/11/23 |
16388 |
* |
16389 |
* XBasic language file for GeSHi. |
16390 |
@@ -139,5 +139,3 @@ $language_data = array ( |
16391 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
16392 |
) |
16393 |
); |
16394 |
- |
16395 |
-?> |
16396 |
\ No newline at end of file |
16397 |
|
16398 |
diff --git a/plugins/wp-syntax/geshi/geshi/xml.php b/plugins/wp-syntax/geshi/geshi/xml.php |
16399 |
index 6354e45..b7a416e 100644 |
16400 |
--- a/plugins/wp-syntax/geshi/geshi/xml.php |
16401 |
+++ b/plugins/wp-syntax/geshi/geshi/xml.php |
16402 |
@@ -4,7 +4,7 @@ |
16403 |
* ------- |
16404 |
* Author: Nigel McNie (nigel@×××××.org) |
16405 |
* Copyright: (c) 2004 Nigel McNie (http://qbnz.com/highlighter/) |
16406 |
- * Release Version: 1.0.8.11 |
16407 |
+ * Release Version: 1.0.8.12 |
16408 |
* Date Started: 2004/09/01 |
16409 |
* |
16410 |
* XML language file for GeSHi. Based on the idea/file by Christian Weiske |
16411 |
@@ -153,5 +153,3 @@ $language_data = array ( |
16412 |
) |
16413 |
) |
16414 |
); |
16415 |
- |
16416 |
-?> |
16417 |
|
16418 |
diff --git a/plugins/wp-syntax/geshi/geshi/xorg_conf.php b/plugins/wp-syntax/geshi/geshi/xorg_conf.php |
16419 |
index 99edc66..35718be 100644 |
16420 |
--- a/plugins/wp-syntax/geshi/geshi/xorg_conf.php |
16421 |
+++ b/plugins/wp-syntax/geshi/geshi/xorg_conf.php |
16422 |
@@ -4,7 +4,7 @@ |
16423 |
* ---------- |
16424 |
* Author: Milian Wolff (mail@×××××××.de) |
16425 |
* Copyright: (c) 2008 Milian Wolff (http://milianw.de) |
16426 |
- * Release Version: 1.0.8.11 |
16427 |
+ * Release Version: 1.0.8.12 |
16428 |
* Date Started: 2008/06/18 |
16429 |
* |
16430 |
* xorg.conf language file for GeSHi. |
16431 |
@@ -120,5 +120,3 @@ $language_data = array ( |
16432 |
), |
16433 |
'TAB_WIDTH' => 4 |
16434 |
); |
16435 |
- |
16436 |
-?> |
16437 |
|
16438 |
diff --git a/plugins/wp-syntax/geshi/geshi/xpp.php b/plugins/wp-syntax/geshi/geshi/xpp.php |
16439 |
index a06e277..4ea5e22 100644 |
16440 |
--- a/plugins/wp-syntax/geshi/geshi/xpp.php |
16441 |
+++ b/plugins/wp-syntax/geshi/geshi/xpp.php |
16442 |
@@ -4,7 +4,7 @@ |
16443 |
* ------- |
16444 |
* Author: Simon Butcher (simon@×××××××.name) |
16445 |
* Copyright: (c) 2007 Simon Butcher (http://simon.butcher.name/) |
16446 |
- * Release Version: 1.0.8.11 |
16447 |
+ * Release Version: 1.0.8.12 |
16448 |
* Date Started: 2007/02/27 |
16449 |
* |
16450 |
* Axapta/Dynamics Ax X++ language file for GeSHi. |
16451 |
@@ -432,5 +432,3 @@ $language_data = array ( |
16452 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
16453 |
) |
16454 |
); |
16455 |
- |
16456 |
-?> |
16457 |
|
16458 |
diff --git a/plugins/wp-syntax/geshi/geshi/yaml.php b/plugins/wp-syntax/geshi/geshi/yaml.php |
16459 |
index a2974eb..2bfbc52 100644 |
16460 |
--- a/plugins/wp-syntax/geshi/geshi/yaml.php |
16461 |
+++ b/plugins/wp-syntax/geshi/geshi/yaml.php |
16462 |
@@ -4,7 +4,7 @@ |
16463 |
* -------- |
16464 |
* Author: Josh Ventura (JoshV10@×××××.com) |
16465 |
* Copyright: (c) 2010 Josh Ventura |
16466 |
- * Release Version: 1.0.8.11 |
16467 |
+ * Release Version: 1.0.8.12 |
16468 |
* Date Started: 2010/12/14 |
16469 |
* |
16470 |
* YAML language file for GeSHi. |
16471 |
@@ -83,6 +83,7 @@ $language_data = array ( |
16472 |
'CASE_KEYWORDS' => GESHI_CAPS_NO_CHANGE, |
16473 |
'QUOTEMARKS' => array('"'), |
16474 |
'ESCAPE_CHAR' => '', |
16475 |
+ 'NUMBERS' => array(), |
16476 |
'KEYWORDS' => array( |
16477 |
1 => array( |
16478 |
'all','any','none', "yes", "no" |
16479 |
@@ -140,11 +141,9 @@ $language_data = array ( |
16480 |
), |
16481 |
'URLS' => array(1 => ''), |
16482 |
'OOLANG' => false, |
16483 |
- 'OBJECT_SPLITTERS' => array( ), |
16484 |
- 'REGEXPS' => array( ), |
16485 |
+ 'OBJECT_SPLITTERS' => array(), |
16486 |
+ 'REGEXPS' => array(), |
16487 |
'STRICT_MODE_APPLIES' => GESHI_NEVER, |
16488 |
- 'SCRIPT_DELIMITERS' => array( ), |
16489 |
- 'HIGHLIGHT_STRICT_BLOCK' => array( ) |
16490 |
+ 'SCRIPT_DELIMITERS' => array(), |
16491 |
+ 'HIGHLIGHT_STRICT_BLOCK' => array() |
16492 |
); |
16493 |
- |
16494 |
-?> |
16495 |
\ No newline at end of file |
16496 |
|
16497 |
diff --git a/plugins/wp-syntax/geshi/geshi/z80.php b/plugins/wp-syntax/geshi/geshi/z80.php |
16498 |
index 47326bb..07df46d 100644 |
16499 |
--- a/plugins/wp-syntax/geshi/geshi/z80.php |
16500 |
+++ b/plugins/wp-syntax/geshi/geshi/z80.php |
16501 |
@@ -4,7 +4,7 @@ |
16502 |
* ------- |
16503 |
* Author: Benny Baumann (BenBE@××××××××.de) |
16504 |
* Copyright: (c) 2007-2008 Benny Baumann (http://www.omorphia.de/) |
16505 |
- * Release Version: 1.0.8.11 |
16506 |
+ * Release Version: 1.0.8.12 |
16507 |
* Date Started: 2007/02/06 |
16508 |
* |
16509 |
* ZiLOG Z80 Assembler language file for GeSHi. |
16510 |
@@ -140,5 +140,3 @@ $language_data = array ( |
16511 |
), |
16512 |
'TAB_WIDTH' => 8 |
16513 |
); |
16514 |
- |
16515 |
-?> |
16516 |
\ No newline at end of file |
16517 |
|
16518 |
diff --git a/plugins/wp-syntax/geshi/geshi/zxbasic.php b/plugins/wp-syntax/geshi/geshi/zxbasic.php |
16519 |
index b1de472..1017678 100644 |
16520 |
--- a/plugins/wp-syntax/geshi/geshi/zxbasic.php |
16521 |
+++ b/plugins/wp-syntax/geshi/geshi/zxbasic.php |
16522 |
@@ -4,7 +4,7 @@ |
16523 |
* ------------- |
16524 |
* Author: Jose Rodriguez (a.k.a. Boriel) |
16525 |
* Based on Copyright: (c) 2005 Roberto Rossi (http://rsoftware.altervista.org) Freebasic template |
16526 |
- * Release Version: 1.0.8.11 |
16527 |
+ * Release Version: 1.0.8.12 |
16528 |
* Date Started: 2010/06/19 |
16529 |
* |
16530 |
* ZXBasic language file for GeSHi. |
16531 |
@@ -146,5 +146,3 @@ $language_data = array ( |
16532 |
'HIGHLIGHT_STRICT_BLOCK' => array( |
16533 |
) |
16534 |
); |
16535 |
- |
16536 |
-?> |
16537 |
\ No newline at end of file |
16538 |
|
16539 |
diff --git a/plugins/wp-syntax/js/wp-syntax.js b/plugins/wp-syntax/js/wp-syntax.js |
16540 |
index f7f6c73..8472db3 100644 |
16541 |
--- a/plugins/wp-syntax/js/wp-syntax.js |
16542 |
+++ b/plugins/wp-syntax/js/wp-syntax.js |
16543 |
@@ -6,14 +6,14 @@ jQuery(document).ready(function($) |
16544 |
{ |
16545 |
var w = $(this).find('table').outerWidth(); |
16546 |
var hw = $(document).width() - $(this).offset().left - 20; |
16547 |
- |
16548 |
+ |
16549 |
/* |
16550 |
* Test code. |
16551 |
*/ |
16552 |
/*var left, top; |
16553 |
left = $(this).offset().left; |
16554 |
top = $(this).offset().top; |
16555 |
- |
16556 |
+ |
16557 |
$(this) |
16558 |
.appendTo('body') |
16559 |
.css({ |
16560 |
@@ -22,13 +22,75 @@ jQuery(document).ready(function($) |
16561 |
'top': top + 'px' |
16562 |
}); |
16563 |
*/ |
16564 |
- |
16565 |
- if(w > $(this).outerWidth()) |
16566 |
- $(this).css({'position':'relative', 'z-index':'9999', 'box-shadow':'5px 5px 5px #888', 'width':(w > hw ? hw : w)+'px'}); |
16567 |
+ |
16568 |
+ if(w > $(this).outerWidth()) { |
16569 |
+ // $(this).css({'position':'relative', 'z-index':'9999', 'box-shadow':'5px 5px 5px #888', 'width':(w > hw ? hw : w)+'px'}); |
16570 |
+ $(this).css({'position':'relative', 'z-index':'9999', 'width':(w > hw ? hw : w)+'px'}); |
16571 |
+ } |
16572 |
}, |
16573 |
mouseout: function() |
16574 |
{ |
16575 |
- //$(this).removeAttr('style'); |
16576 |
- } |
16577 |
+ // $(this).removeAttr('style'); |
16578 |
+ $(this).css({'position':'relative', 'z-index':'', 'width':'auto'}); |
16579 |
+ }, |
16580 |
+ dblclick: function() |
16581 |
+ { |
16582 |
+ //Create text area on top of code on double click |
16583 |
+ //This can make copying of the code easier |
16584 |
+ |
16585 |
+ var jthis = $(this); |
16586 |
+ if (!jthis.data('hasTextArea')) { |
16587 |
+ var code = jthis.find(".theCode").html(); |
16588 |
+ var ta = $('<textarea spellcheck="false"/>'); |
16589 |
+ ta.html(code); |
16590 |
+ |
16591 |
+ var pre = jthis.find('.code > pre'); |
16592 |
+ |
16593 |
+ ta.css({ |
16594 |
+ 'font-family': pre.css('font-family'), |
16595 |
+ 'font-size': pre.css('font-size'), |
16596 |
+ 'line-height': pre.css('line-height'), |
16597 |
+ 'height': "100%", |
16598 |
+ 'width': "100%", |
16599 |
+ 'position': 'absolute', |
16600 |
+ 'top': 0, |
16601 |
+ 'left': 0, |
16602 |
+ 'margin': pre.css('margin'), |
16603 |
+ 'padding': pre.css('padding'), |
16604 |
+ 'border': '0px' |
16605 |
+ }); |
16606 |
+ |
16607 |
+ ta.css('resize','none'); |
16608 |
+ ta.css('outline','none'); |
16609 |
+ |
16610 |
+ ta.focusout(function(){ |
16611 |
+ ta.remove(); |
16612 |
+ jthis.data('hasTextArea',false); |
16613 |
+ }); |
16614 |
+ |
16615 |
+ //readjust position and width if using line numbers |
16616 |
+ var line_numbers = jthis.find(".line_numbers"); |
16617 |
+ if (line_numbers.length != 0) { |
16618 |
+ var w = line_numbers.outerWidth(); |
16619 |
+ ta.css('left',w+"px"); |
16620 |
+ ta.css('width', jthis.width()-w+"px"); |
16621 |
+ } |
16622 |
+ //readjust position and height if using caption |
16623 |
+ var caption = jthis.find('caption'); |
16624 |
+ if (caption.length != 0) { |
16625 |
+ var h = caption.outerHeight(); |
16626 |
+ ta.css('top',h+"px"); |
16627 |
+ ta.css('height',jthis.height()-h+"px"); |
16628 |
+ } |
16629 |
+ |
16630 |
+ ta.appendTo(jthis); |
16631 |
+ |
16632 |
+ ta.select(); |
16633 |
+ ta.focus(); |
16634 |
+ |
16635 |
+ jthis.data('hasTextArea',true); |
16636 |
+ |
16637 |
+ } |
16638 |
+ } |
16639 |
}); |
16640 |
-}); |
16641 |
\ No newline at end of file |
16642 |
+}); |
16643 |
|
16644 |
diff --git a/plugins/wp-syntax/test/code.php b/plugins/wp-syntax/test/code.php |
16645 |
deleted file mode 100644 |
16646 |
index ed31444..0000000 |
16647 |
--- a/plugins/wp-syntax/test/code.php |
16648 |
+++ /dev/null |
16649 |
@@ -1,101 +0,0 @@ |
16650 |
-<?php |
16651 |
- |
16652 |
-$code = array(); |
16653 |
-$code['php'] = <<<EOF |
16654 |
-<div id="foo"> |
16655 |
-<?php |
16656 |
- function foo() { |
16657 |
- echo "Hello World!\\n"; |
16658 |
- } |
16659 |
- for (\$i = 0; \$i < 10 $i++) { |
16660 |
- foo(); |
16661 |
- } |
16662 |
-?> |
16663 |
-</div> |
16664 |
-EOF; |
16665 |
- |
16666 |
-$code['lisp'] = <<<EOF |
16667 |
-(defun foo |
16668 |
- "bleh *bleh* bleh" |
16669 |
- (interactive)) |
16670 |
-EOF; |
16671 |
- |
16672 |
-$code['java'] = <<<EOF |
16673 |
-public class Hello { |
16674 |
- public static void main(String[] args) { |
16675 |
- System.out.println("Hello World!"); |
16676 |
- } |
16677 |
-} |
16678 |
-EOF; |
16679 |
- |
16680 |
-$code['xml'] = <<<EOF |
16681 |
-<xml> |
16682 |
- <foo> |
16683 |
- <bar id="howdy">"Hello World!"</bar> |
16684 |
- </foo> |
16685 |
-</xml> |
16686 |
-EOF; |
16687 |
- |
16688 |
-$code['html'] = <<<EOF |
16689 |
-<html><head><title>Hello World</title></head> |
16690 |
- <body> |
16691 |
- <h1>Hello World!</h1> |
16692 |
- <p><strong>howdy</strong></p> |
16693 |
- </body> |
16694 |
-</html> |
16695 |
-EOF; |
16696 |
- |
16697 |
-$code['ruby'] = <<<EOF |
16698 |
-class Example |
16699 |
- def example(arg1) |
16700 |
- return "Hello: " + arg1.to_s |
16701 |
- end |
16702 |
-end |
16703 |
-EOF; |
16704 |
- |
16705 |
-$code['rails'] = <<<EOF |
16706 |
-ActionController::Routing::Routes.draw do |map| |
16707 |
- map.connect ':controller/:action', :action => 'index', :requirements => { :action => /(?:[a-z](?:[-_]?[a-z]+)*)/ } |
16708 |
- map.connect ':controller/:id', :action => 'show', :requirements => { :id => /\d+/ } |
16709 |
- map.connect ':controller/:id/:action', |
16710 |
-end |
16711 |
-EOF; |
16712 |
- |
16713 |
-$code['ocaml'] = <<<EOF |
16714 |
-let square x = x * x;; |
16715 |
-val square : int -> int = |
16716 |
-let rec fact x = |
16717 |
- if x < = 1 then 1 else x * fact (x - 1);; |
16718 |
-val fact : int -> int = |
16719 |
-fact 5;; - : int = 120 |
16720 |
-square 120;; - : int = 14400 |
16721 |
-EOF; |
16722 |
- |
16723 |
-$code['python'] = <<<EOF |
16724 |
-from itertools import islice |
16725 |
- |
16726 |
-def fib(): |
16727 |
- x, y = 1, 1 |
16728 |
- while True: |
16729 |
- yield x |
16730 |
- x, y = y, x + y |
16731 |
- |
16732 |
-for num in islice(fib(), 20): |
16733 |
- print num |
16734 |
-EOF; |
16735 |
- |
16736 |
-$code['c'] = <<<EOF |
16737 |
-_tcsncat_s(CurrentFileName, MAX_PATH, TEXT("\\\\"), MAX_PATH); |
16738 |
-_tcsncat_s(CurrentFileName, MAX_PATH, FileInformation.cFileName, MAX_PATH); |
16739 |
- |
16740 |
-if(FileInformation.dwFileAttributes & FILE_ATTRIBUTE_DIRECTORY) |
16741 |
-{ |
16742 |
- RecurseFileSystem(CurrentFileName); |
16743 |
-} |
16744 |
-else |
16745 |
-{ |
16746 |
- /* Do action on file here! */ |
16747 |
-} |
16748 |
-EOF; |
16749 |
- |
16750 |
-?> |
16751 |
|
16752 |
diff --git a/plugins/wp-syntax/test/index.php b/plugins/wp-syntax/test/index.php |
16753 |
deleted file mode 100644 |
16754 |
index 437c96d..0000000 |
16755 |
--- a/plugins/wp-syntax/test/index.php |
16756 |
+++ /dev/null |
16757 |
@@ -1,206 +0,0 @@ |
16758 |
-<?php |
16759 |
- |
16760 |
-$code = array(); |
16761 |
-$test_filter = array(); |
16762 |
- |
16763 |
-include("code.php"); |
16764 |
- |
16765 |
-function test_lang($lang, $language = null, $line = null, $escaped = null) |
16766 |
-{ |
16767 |
- global $code; |
16768 |
- if (!isset($language)) $language = $lang; |
16769 |
- else $as = "as $language"; |
16770 |
- |
16771 |
- if (isset($escaped)) $c = htmlspecialchars($code[$lang]); |
16772 |
- else { $c = $code[$lang]; $escaped = "false"; } |
16773 |
- |
16774 |
- $snippet = <<<EOF |
16775 |
-<h2>$lang $as</h2> |
16776 |
-<p>This *is* what some <code>$lang</code> code looks like (escaped:$escaped):</p> |
16777 |
-<pre lang='$language' line="$line" escaped="$escaped"> \t \r |
16778 |
-$c |
16779 |
-</pre> |
16780 |
-EOF; |
16781 |
- |
16782 |
- return $snippet; |
16783 |
-} |
16784 |
- |
16785 |
-function gather_content() |
16786 |
-{ |
16787 |
- $content = ''; |
16788 |
- $content .= test_lang('php'); |
16789 |
- $content .= test_lang('lisp', null, 1); |
16790 |
- $content .= test_lang('java', null, 1); |
16791 |
- $content .= test_lang('xml'); |
16792 |
- $content .= test_lang('xml', null, null, "true"); |
16793 |
- $content .= test_lang('html', 'html4strict'); |
16794 |
- $content .= test_lang('html', 'xml', 18); |
16795 |
- $content .= test_lang('html', 'xml', 18, "true"); |
16796 |
- $content .= test_lang('ocaml'); |
16797 |
- $content .= test_lang('python'); |
16798 |
- $content .= test_lang('ruby', null, 18); |
16799 |
- $content .= test_lang('ruby'); |
16800 |
- $content .= test_lang('rails'); |
16801 |
- $content .= test_lang('c'); |
16802 |
- return $content; |
16803 |
-} |
16804 |
- |
16805 |
-function test_head() |
16806 |
-{ |
16807 |
- echo apply_filters("wp_head", ""); |
16808 |
-} |
16809 |
- |
16810 |
-function test_all() |
16811 |
-{ |
16812 |
- echo apply_filters("the_content", gather_content()); |
16813 |
-} |
16814 |
- |
16815 |
-function test_all_with_other_filters() |
16816 |
-{ |
16817 |
- add_filter('the_content', 'pre_killer'); // bad if run before GeSHi |
16818 |
- add_filter('the_content', 'amp_exposer'); // bad if run after GeSHi |
16819 |
- |
16820 |
- if (file_exists("filters/filters.php")) |
16821 |
- { |
16822 |
- include("filters/filters.php"); |
16823 |
- } |
16824 |
- |
16825 |
- echo apply_filters("the_content", gather_content()); |
16826 |
-} |
16827 |
- |
16828 |
-include("../wp-syntax.php"); |
16829 |
-?> |
16830 |
- |
16831 |
-<html> |
16832 |
-<head> |
16833 |
-<title>WP-Syntax Test Page</title> |
16834 |
-<link rel="stylesheet" href="../wp-syntax.css" type="text/css" media="screen" /> |
16835 |
-<?php |
16836 |
-test_head(); |
16837 |
-define("TEMPLATEPATH", "../"); |
16838 |
-test_head(); |
16839 |
-?> |
16840 |
-<style type="text/css" media="screen"> |
16841 |
-.wp_syntax td div, .wp_syntax div div { |
16842 |
- padding: 0; |
16843 |
-} |
16844 |
-</style> |
16845 |
-</head> |
16846 |
- |
16847 |
-<body> |
16848 |
-<div style="width:50%;"> |
16849 |
- <h1>Vanilla, without other filters</h1> |
16850 |
-<?php |
16851 |
-test_all(); |
16852 |
-?> |
16853 |
- |
16854 |
- <h1>Modified, with other filters</h1> |
16855 |
-<?php |
16856 |
-test_all_with_other_filters(); |
16857 |
-?> |
16858 |
-</div> |
16859 |
-</body> |
16860 |
-</html> |
16861 |
- |
16862 |
- |
16863 |
-<?php |
16864 |
- |
16865 |
-function amp_exposer($content) |
16866 |
-{ |
16867 |
- return str_replace("&", "&", $content); |
16868 |
-} |
16869 |
- |
16870 |
-function pre_killer($content) |
16871 |
-{ |
16872 |
- return preg_replace("/<(\/)?pre([^>]*)>/", "[$1pre$2]", $content); |
16873 |
-} |
16874 |
- |
16875 |
-/* |
16876 |
- * === WORDPRESS STUBS === |
16877 |
- */ |
16878 |
-function get_bloginfo($arg) { |
16879 |
- return "http://yourblog.com/blog"; |
16880 |
-} |
16881 |
- |
16882 |
-function get_option($arg) { |
16883 |
- return "http://yourblog.com/blog"; |
16884 |
-} |
16885 |
- |
16886 |
-function remove_filter($tag, $function_to_remove, $priority = 10) |
16887 |
-{ |
16888 |
- return true; |
16889 |
-} |
16890 |
- |
16891 |
-function add_filter($tag, $function_to_add, $priority = 10, $accepted_args = 1) |
16892 |
-{ |
16893 |
- global $test_filter; |
16894 |
- |
16895 |
- $test_filter[$tag][$priority][] = $function_to_add; |
16896 |
- $test_filter[$tag][$priority] = array_unique($test_filter[$tag][$priority]); |
16897 |
- |
16898 |
- return true; |
16899 |
-} |
16900 |
- |
16901 |
-function apply_filters($tag, $string) |
16902 |
-{ |
16903 |
- global $test_filter; |
16904 |
- |
16905 |
- if (!isset($test_filter[$tag])) return $string; |
16906 |
- |
16907 |
- uksort($test_filter[$tag], "strnatcasecmp"); |
16908 |
- |
16909 |
- foreach ($test_filter[$tag] as $priority => $functions) |
16910 |
- { |
16911 |
- if (is_null($functions)) continue; |
16912 |
- |
16913 |
- foreach($functions as $function) |
16914 |
- { |
16915 |
- $string = call_user_func_array($function, array($string)); |
16916 |
- } |
16917 |
- } |
16918 |
- return $string; |
16919 |
-} |
16920 |
- |
16921 |
-function add_action($tag, $function_to_add, $priority = 10, $accepted_args = 1) |
16922 |
-{ |
16923 |
- add_filter($tag, $function_to_add, $priority, $accepted_args); |
16924 |
-} |
16925 |
- |
16926 |
-function do_action($tag, $arg = '') { |
16927 |
- global $test_filter; |
16928 |
- |
16929 |
- if (!isset($test_filter[$tag])) return; |
16930 |
- |
16931 |
- uksort($test_filter[$tag], "strnatcasecmp"); |
16932 |
- |
16933 |
- foreach ($test_filter[$tag] as $priority => $functions) |
16934 |
- { |
16935 |
- if (is_null($functions)) continue; |
16936 |
- |
16937 |
- foreach($functions as $function) |
16938 |
- { |
16939 |
- call_user_func_array($function, array($arg)); |
16940 |
- } |
16941 |
- } |
16942 |
-} |
16943 |
- |
16944 |
-function do_action_ref_array($tag, $args) { |
16945 |
- global $test_filter; |
16946 |
- |
16947 |
- if (!isset($test_filter[$tag])) return; |
16948 |
- |
16949 |
- uksort($test_filter[$tag], "strnatcasecmp"); |
16950 |
- |
16951 |
- foreach ($test_filter[$tag] as $priority => $functions) |
16952 |
- { |
16953 |
- if (is_null($functions)) continue; |
16954 |
- |
16955 |
- foreach($functions as $function) |
16956 |
- { |
16957 |
- call_user_func_array($function, $args); |
16958 |
- } |
16959 |
- } |
16960 |
-} |
16961 |
- |
16962 |
-?> |
16963 |
- |
16964 |
|
16965 |
diff --git a/plugins/wp-syntax/wp-syntax.css b/plugins/wp-syntax/wp-syntax.css |
16966 |
deleted file mode 100644 |
16967 |
index cef18bb..0000000 |
16968 |
--- a/plugins/wp-syntax/wp-syntax.css |
16969 |
+++ /dev/null |
16970 |
@@ -1,43 +0,0 @@ |
16971 |
-.wp_syntax { |
16972 |
- color: #100; |
16973 |
- background-color: #f9f9f9; |
16974 |
- border: 1px solid silver; |
16975 |
- margin: 0 0 1.5em 0; |
16976 |
- overflow: auto; |
16977 |
-} |
16978 |
- |
16979 |
-/* IE FIX */ |
16980 |
-.wp_syntax { |
16981 |
- overflow-x: auto; |
16982 |
- overflow-y: hidden; |
16983 |
- padding-bottom: expression(this.scrollWidth > this.offsetWidth ? 15 : 0); |
16984 |
- width: 100%; |
16985 |
-} |
16986 |
- |
16987 |
-.wp_syntax table { |
16988 |
- border-collapse: collapse; |
16989 |
-} |
16990 |
- |
16991 |
-.wp_syntax div, .wp_syntax td { |
16992 |
- vertical-align: top; |
16993 |
- padding: 2px 4px; |
16994 |
-} |
16995 |
- |
16996 |
-.wp_syntax .line_numbers { |
16997 |
- text-align: right; |
16998 |
- background-color: #def; |
16999 |
- color: gray; |
17000 |
- overflow: visible; |
17001 |
-} |
17002 |
- |
17003 |
-/* potential overrides for other styles */ |
17004 |
-.wp_syntax pre { |
17005 |
- margin: 0; |
17006 |
- width: auto; |
17007 |
- float: none; |
17008 |
- clear: none; |
17009 |
- overflow: visible; |
17010 |
- font-size: 12px; |
17011 |
- line-height: 1.333; |
17012 |
- white-space: pre; |
17013 |
-} |
17014 |
|
17015 |
diff --git a/plugins/wp-syntax/wp-syntax.php b/plugins/wp-syntax/wp-syntax.php |
17016 |
index d3a95ff..3778b9f 100644 |
17017 |
--- a/plugins/wp-syntax/wp-syntax.php |
17018 |
+++ b/plugins/wp-syntax/wp-syntax.php |
17019 |
@@ -1,372 +1,520 @@ |
17020 |
-<?php |
17021 |
-/* |
17022 |
-Plugin Name: WP-Syntax |
17023 |
-Plugin URI: http://www.connections-pro.com |
17024 |
-Description: Syntax highlighting using <a href="http://qbnz.com/highlighter/">GeSHi</a> supporting a wide range of popular languages. |
17025 |
-Version: 1.0 |
17026 |
-Author: Steven A. Zahm |
17027 |
-Author URI: http://www.connections-pro.com |
17028 |
-License: GPL2 |
17029 |
-Text Domain: wp_syntax |
17030 |
-Domain Path: /lang |
17031 |
- |
17032 |
-Original Author: Ryan McGeary |
17033 |
- |
17034 |
-Copyright 2013 Steven A. Zahm (email : helpdesk@×××××××××××××××.com) |
17035 |
- |
17036 |
-This program is free software; you can redistribute it and/or modify |
17037 |
-it under the terms of the GNU General Public License, version 2, as |
17038 |
-published by the Free Software Foundation. |
17039 |
- |
17040 |
-This program is distributed in the hope that it will be useful, |
17041 |
-but WITHOUT ANY WARRANTY; without even the implied warranty of |
17042 |
-MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
17043 |
-GNU General Public License for more details. |
17044 |
- |
17045 |
-You should have received a copy of the GNU General Public License |
17046 |
-along with this program; if not, write to the Free Software |
17047 |
-Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA |
17048 |
-*/ |
17049 |
- |
17050 |
-/* |
17051 |
-@todo integrate TinyMCE button support using one of these as a base: |
17052 |
- http://wordpress.org/extend/plugins/wp-syntax-integration/ |
17053 |
- http://wordpress.org/extend/plugins/wp-syntax-button/ |
17054 |
-@todo Merge this add-on plugin functionality: http://wordpress.org/extend/plugins/wp-syntax-download-extension/ |
17055 |
- |
17056 |
-Look at these: http://wordpress.org/extend/plugins/wp-synhighlight/ |
17057 |
- http://wordpress.org/extend/plugins/wp-codebox/ |
17058 |
- */ |
17059 |
- |
17060 |
-if ( ! class_exists( 'WP_Syntax' ) ) { |
17061 |
- |
17062 |
- class WP_Syntax { |
17063 |
- |
17064 |
- /** |
17065 |
- * @var (object) WP_Syntax stores the instance of this class. |
17066 |
- */ |
17067 |
- private static $instance; |
17068 |
- |
17069 |
- private static $token; |
17070 |
- |
17071 |
- private static $matches; |
17072 |
- |
17073 |
- /** |
17074 |
- * A dummy constructor to prevent WP_Syntax from being loaded more than once. |
17075 |
- * |
17076 |
- * @access private |
17077 |
- * @since 1.0 |
17078 |
- * @see WP_Syntax::instance() |
17079 |
- * @see WP_Syntax(); |
17080 |
- */ |
17081 |
- private function __construct() { /* Do nothing here */ } |
17082 |
- |
17083 |
- /** |
17084 |
- * Main WP_Syntax Instance |
17085 |
- * |
17086 |
- * Insures that only one instance of WP_Syntax exists in memory at any one time. |
17087 |
- * |
17088 |
- * @access public |
17089 |
- * @since 1.0 |
17090 |
- * @return object WP_Syntax |
17091 |
- */ |
17092 |
- public static function getInstance() { |
17093 |
- if ( ! isset( self::$instance ) ) { |
17094 |
- self::$instance = new self; |
17095 |
- self::$instance->init(); |
17096 |
- } |
17097 |
- return self::$instance; |
17098 |
- } |
17099 |
- |
17100 |
- /** |
17101 |
- * Initiate the plugin. |
17102 |
- * |
17103 |
- * @access private |
17104 |
- * @since 1.0 |
17105 |
- * @return void |
17106 |
- */ |
17107 |
- private function init() { |
17108 |
- |
17109 |
- self::defineConstants(); |
17110 |
- self::inludeDependencies(); |
17111 |
- |
17112 |
- self::$token = md5( uniqid( rand() ) ); |
17113 |
- |
17114 |
- self::$matches = array(); |
17115 |
- |
17116 |
- // Nothing to do during activation/deactivation yet... |
17117 |
- // register_activation_hook( dirname(__FILE__) . '/wp-syntax.php', array( __CLASS__, 'activate' ) ); |
17118 |
- // register_deactivation_hook( dirname(__FILE__) . '/wp-syntax.php', array( __CLASS__, 'deactivate' ) ); |
17119 |
- |
17120 |
- // Nothing to translate presently. |
17121 |
- // load_plugin_textdomain( 'wp_syntax' , false , WPS__DIR_NAME . 'lang' ); |
17122 |
- |
17123 |
- add_action( 'wp_enqueue_scripts', array( __CLASS__, 'enqueueScripts' ) ); |
17124 |
- |
17125 |
- // Update config for WYSIWYG editor to accept the pre tag and its attributes. |
17126 |
- add_filter( 'tiny_mce_before_init', array( __CLASS__,'tinyMCEConfig') ); |
17127 |
- |
17128 |
- // We want to run before other filters; hence, a priority of 0 was chosen. |
17129 |
- // The lower the number, the higher the priority. 10 is the default and |
17130 |
- // several formatting filters run at or around 6. |
17131 |
- add_filter( 'the_content', array( __CLASS__, 'beforeFilter' ), 0); |
17132 |
- add_filter( 'the_excerpt', array( __CLASS__, 'beforeFilter' ), 0); |
17133 |
- add_filter( 'comment_text', array( __CLASS__, 'beforeFilter' ), 0); |
17134 |
- |
17135 |
- // We want to run after other filters; hence, a priority of 99. |
17136 |
- add_filter( 'the_content', array( __CLASS__, 'afterFilter' ), 99); |
17137 |
- add_filter( 'the_excerpt', array( __CLASS__, 'afterFilter' ), 99); |
17138 |
- add_filter( 'comment_text', array( __CLASS__, 'afterFilter' ), 99); |
17139 |
- |
17140 |
- } |
17141 |
- |
17142 |
- /** |
17143 |
- * Define the constants. |
17144 |
- * |
17145 |
- * @access private |
17146 |
- * @since 1.0 |
17147 |
- * @return void |
17148 |
- */ |
17149 |
- private static function defineConstants() { |
17150 |
- |
17151 |
- define( 'WPS_VERSION', '1.0' ); |
17152 |
- |
17153 |
- define( 'WPS_DIR_NAME', plugin_basename( dirname( __FILE__ ) ) ); |
17154 |
- define( 'WPS_BASE_NAME', plugin_basename( __FILE__ ) ); |
17155 |
- define( 'WPS_BASE_PATH', plugin_dir_path( __FILE__ ) ); |
17156 |
- define( 'WPS_BASE_URL', plugin_dir_url( __FILE__ ) ); |
17157 |
- |
17158 |
- } |
17159 |
- |
17160 |
- private static function inludeDependencies() { |
17161 |
- |
17162 |
- include_once( 'geshi/geshi.php' ); |
17163 |
- |
17164 |
- } |
17165 |
- |
17166 |
- /** |
17167 |
- * Called when activating via the activation hook. |
17168 |
- * |
17169 |
- * @access private |
17170 |
- * @since 1.0 |
17171 |
- * @return void |
17172 |
- */ |
17173 |
- public static function activate() { |
17174 |
- |
17175 |
- } |
17176 |
- |
17177 |
- /** |
17178 |
- * Called when deactivating via the deactivation hook. |
17179 |
- * |
17180 |
- * @access private |
17181 |
- * @since 1.0 |
17182 |
- * @return void |
17183 |
- */ |
17184 |
- public static function deactivate() { |
17185 |
- |
17186 |
- } |
17187 |
- |
17188 |
- /** |
17189 |
- * Enqueue the CSS and JavaScripts. |
17190 |
- * |
17191 |
- * @access private |
17192 |
- * @since 1.0 |
17193 |
- * @return void |
17194 |
- */ |
17195 |
- public static function enqueueScripts() { |
17196 |
- |
17197 |
- // If a wp-syntax.css file exists in the theme folder use it instead. |
17198 |
- $url = file_exists( STYLESHEETPATH . '/wp-syntax.css' ) ? get_bloginfo( 'stylesheet_directory' ) . '/wp-syntax.css' : WPS_BASE_URL . 'css/wp-syntax.css'; |
17199 |
- |
17200 |
- // Enqueue the CSS |
17201 |
- wp_enqueue_style( 'wp-syntax-css', $url, array(), WPS_VERSION ); |
17202 |
- |
17203 |
- // Enqueue the JavaScript |
17204 |
- // wp_enqueue_script( 'wp-syntax-js', WPS_BASE_URL . 'js/wp-syntax.js', array( 'jquery' ), WPS_VERSION, TRUE ); |
17205 |
- |
17206 |
- } |
17207 |
- |
17208 |
- /** |
17209 |
- * Update the TinyMCE config to add support for the pre tag and its attributes. |
17210 |
- * |
17211 |
- * @access private |
17212 |
- * @since 0.9.13 |
17213 |
- * @param (array) $init The TinyMCE config. |
17214 |
- * @return (array) |
17215 |
- */ |
17216 |
- public static function tinyMCEConfig( $init ) { |
17217 |
- |
17218 |
- $ext = 'pre[id|name|class|style|lang|line|escaped|highlight|src]'; |
17219 |
- |
17220 |
- if ( isset( $init['extended_valid_elements'] ) ) { |
17221 |
- $init['extended_valid_elements'] .= "," . $ext; |
17222 |
- } else { |
17223 |
- $init['extended_valid_elements'] = $ext; |
17224 |
- } |
17225 |
- |
17226 |
- return $init; |
17227 |
- } |
17228 |
- |
17229 |
- // special ltrim b/c leading whitespace matters on 1st line of content |
17230 |
- public static function trimCode( $code ) { |
17231 |
- $code = preg_replace("/^\s*\n/siU", '', $code); |
17232 |
- $code = rtrim ($code ); |
17233 |
- return $code; |
17234 |
- } |
17235 |
- |
17236 |
- public static function substituteToken( &$match ) { |
17237 |
- // global $wp_syntax_token, $wp_syntax_matches; |
17238 |
- |
17239 |
- $i = count( self::$matches ); |
17240 |
- self::$matches[ $i ] = $match; |
17241 |
- |
17242 |
- return "\n\n<p>" . self::$token . sprintf( '%03d', $i ) . "</p>\n\n"; |
17243 |
- } |
17244 |
- |
17245 |
- public static function lineNumbers( $code, $start ) { |
17246 |
- |
17247 |
- $line_count = count( explode( "\n", $code ) ); |
17248 |
- $output = '<pre>'; |
17249 |
- |
17250 |
- for ( $i = 0; $i < $line_count; $i++ ) { |
17251 |
- $output .= ( $start + $i ) . "\n"; |
17252 |
- } |
17253 |
- |
17254 |
- $output .= '</pre>'; |
17255 |
- |
17256 |
- return $output; |
17257 |
- } |
17258 |
- |
17259 |
- public static function caption( $url ) { |
17260 |
- |
17261 |
- $parsed = parse_url( $url ); |
17262 |
- $path = pathinfo( $parsed['path'] ); |
17263 |
- $caption = ''; |
17264 |
- |
17265 |
- if ( ! isset( $path['filename'] ) ) { |
17266 |
- return; |
17267 |
- } |
17268 |
- |
17269 |
- if ( isset( $parsed['scheme'] ) ) { |
17270 |
- $caption .= '<a href="' . $url . '">'; |
17271 |
- } |
17272 |
- |
17273 |
- if ( isset( $parsed["host"] ) && $parsed["host"] == 'github.com' ) |
17274 |
- { |
17275 |
- $caption .= substr( $parsed['path'], strpos( $parsed['path'], '/', 1 ) ); /* strip github.com username */ |
17276 |
- } else { |
17277 |
- $caption .= $parsed['path']; |
17278 |
- } |
17279 |
- |
17280 |
- /* $caption . $path["filename"]; |
17281 |
- if (isset($path["extension"])) { |
17282 |
- $caption .= "." . $path["extension"]; |
17283 |
- }*/ |
17284 |
- |
17285 |
- if ( isset($parsed['scheme']) ) { |
17286 |
- $caption .= '</a>'; |
17287 |
- } |
17288 |
- |
17289 |
- return $caption; |
17290 |
- } |
17291 |
- |
17292 |
- public static function highlight( $match ) { |
17293 |
- // global $wp_syntax_matches; |
17294 |
- |
17295 |
- $i = intval( $match[1] ); |
17296 |
- $match = self::$matches[ $i ]; |
17297 |
- |
17298 |
- $language = strtolower( trim( $match[1] ) ); |
17299 |
- $line = trim( $match[2] ); |
17300 |
- $escaped = trim( $match[3] ); |
17301 |
- $caption = self::caption( $match[5] ); |
17302 |
- $code = self::trimCode( $match[6] ); |
17303 |
- |
17304 |
- if ( $escaped == 'true' ) $code = htmlspecialchars_decode( $code ); |
17305 |
- |
17306 |
- $geshi = new GeSHi( $code, $language ); |
17307 |
- $geshi->enable_keyword_links( FALSE ); |
17308 |
- |
17309 |
- do_action_ref_array( 'wp_syntax_init_geshi', array( &$geshi ) ); |
17310 |
- |
17311 |
- if ( ! empty( $match[4] ) ) { |
17312 |
- |
17313 |
- $linespecs = strpos( $match[4], ",") == FALSE ? array( $match[4] ) : explode( ',', $match[4] ); |
17314 |
- $lines = array(); |
17315 |
- |
17316 |
- foreach ( $linespecs as $spec ) { |
17317 |
- $range = explode( '-', $spec ); |
17318 |
- $lines = array_merge( $lines, ( count( $range ) == 2) ? range( $range[0], $range[1]) : $range ); |
17319 |
- } |
17320 |
- |
17321 |
- $geshi->highlight_lines_extra( $lines ); |
17322 |
- } |
17323 |
- |
17324 |
- $output = "\n" . '<div class="wp_syntax">'; |
17325 |
- $output .= '<table>'; |
17326 |
- |
17327 |
- if ( ! empty( $caption ) ) { |
17328 |
- $output .= '<caption>' . $caption . '</caption>'; |
17329 |
- } |
17330 |
- |
17331 |
- $output .= '<tr>'; |
17332 |
- |
17333 |
- if ( $line ) { |
17334 |
- $output .='<td class="line_numbers">' . self::lineNumbers( $code, $line ) . '</td>'; |
17335 |
- } |
17336 |
- |
17337 |
- $output .= '<td class="code">'; |
17338 |
- $output .= $geshi->parse_code(); |
17339 |
- $output .= '</td></tr></table>'; |
17340 |
- $output .= '</div>' . "\n"; |
17341 |
- |
17342 |
- return $output; |
17343 |
- } |
17344 |
- |
17345 |
- public static function beforeFilter( $content ) { |
17346 |
- |
17347 |
- return preg_replace_callback( |
17348 |
- "/\s*<pre(?:lang=[\"']([\w-]+)[\"']|line=[\"'](\d*)[\"']|escaped=[\"'](true|false)?[\"']|highlight=[\"']((?:\d+[,-])*\d+)[\"']|src=[\"']([^\"']+)[\"']|\s)+>(.*)<\/pre>\s*/siU", |
17349 |
- array( __CLASS__, 'substituteToken' ), |
17350 |
- $content |
17351 |
- ); |
17352 |
- |
17353 |
- } |
17354 |
- |
17355 |
- public static function afterFilter( $content ) { |
17356 |
- // global $wp_syntax_token; |
17357 |
- |
17358 |
- $content = preg_replace_callback( |
17359 |
- '/<p>\s*' . self::$token . '(\d{3})\s*<\/p>/si', |
17360 |
- array( __CLASS__, 'highlight' ), |
17361 |
- $content |
17362 |
- ); |
17363 |
- |
17364 |
- return $content; |
17365 |
- } |
17366 |
- |
17367 |
- } |
17368 |
- |
17369 |
- /** |
17370 |
- * The main function responsible for returning the WP_Syntax instance |
17371 |
- * to functions everywhere. |
17372 |
- * |
17373 |
- * Use this function like you would a global variable, except without needing |
17374 |
- * to declare the global. |
17375 |
- * |
17376 |
- * Example: <?php $wp_syntex = WP_Syntax(); ?> |
17377 |
- * |
17378 |
- * @access public |
17379 |
- * @since 1.0 |
17380 |
- * @return mixed (object) |
17381 |
- */ |
17382 |
- function WP_Syntax() { |
17383 |
- return WP_Syntax::getInstance(); |
17384 |
- } |
17385 |
- |
17386 |
- /** |
17387 |
- * Start the plugin. |
17388 |
- */ |
17389 |
- add_action( 'plugins_loaded', 'WP_Syntax' ); |
17390 |
- |
17391 |
-} |
17392 |
\ No newline at end of file |
17393 |
+<?php |
17394 |
+/* |
17395 |
+Plugin Name: WP-Syntax |
17396 |
+Plugin URI: http://www.connections-pro.com |
17397 |
+Description: Syntax highlighting using <a href="http://qbnz.com/highlighter/">GeSHi</a> supporting a wide range of popular languages. |
17398 |
+Version: 1.1 |
17399 |
+Author: Steven A. Zahm |
17400 |
+Author URI: http://www.connections-pro.com |
17401 |
+License: GPL2 |
17402 |
+Text Domain: wp_syntax |
17403 |
+Domain Path: /lang |
17404 |
+ |
17405 |
+Original Author: Ryan McGeary |
17406 |
+ |
17407 |
+Copyright 2013 Steven A. Zahm (email : helpdesk@×××××××××××××××.com) |
17408 |
+ |
17409 |
+This program is free software; you can redistribute it and/or modify |
17410 |
+it under the terms of the GNU General Public License, version 2, as |
17411 |
+published by the Free Software Foundation. |
17412 |
+ |
17413 |
+This program is distributed in the hope that it will be useful, |
17414 |
+but WITHOUT ANY WARRANTY; without even the implied warranty of |
17415 |
+MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the |
17416 |
+GNU General Public License for more details. |
17417 |
+ |
17418 |
+You should have received a copy of the GNU General Public License |
17419 |
+along with this program; if not, write to the Free Software |
17420 |
+Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA |
17421 |
+*/ |
17422 |
+ |
17423 |
+/* |
17424 |
+@todo integrate TinyMCE button support using one of these as a base: |
17425 |
+ http://wordpress.org/extend/plugins/wp-syntax-integration/ |
17426 |
+ http://wordpress.org/extend/plugins/wp-syntax-button/ |
17427 |
+@todo Merge this add-on plugin functionality: http://wordpress.org/extend/plugins/wp-syntax-download-extension/ |
17428 |
+ |
17429 |
+Look at these: http://wordpress.org/extend/plugins/wp-synhighlight/ |
17430 |
+ http://wordpress.org/extend/plugins/wp-codebox/ |
17431 |
+ */ |
17432 |
+ |
17433 |
+if ( ! class_exists( 'WP_Syntax' ) ) { |
17434 |
+ |
17435 |
+ class WP_Syntax { |
17436 |
+ |
17437 |
+ /** |
17438 |
+ * @var WP_Syntax stores the instance of this class. |
17439 |
+ */ |
17440 |
+ private static $instance; |
17441 |
+ |
17442 |
+ private static $token; |
17443 |
+ |
17444 |
+ private static $matches; |
17445 |
+ |
17446 |
+ // Used for caching |
17447 |
+ private static $cache = array(); |
17448 |
+ private static $cache_generate = false; |
17449 |
+ private static $cache_match_num = 0; |
17450 |
+ |
17451 |
+ /** |
17452 |
+ * A dummy constructor to prevent WP_Syntax from being loaded more than once. |
17453 |
+ * |
17454 |
+ * @access private |
17455 |
+ * @since 1.0 |
17456 |
+ * @see WP_Syntax::instance() |
17457 |
+ * @see WP_Syntax(); |
17458 |
+ */ |
17459 |
+ private function __construct() { /* Do nothing here */ } |
17460 |
+ |
17461 |
+ /** |
17462 |
+ * Main WP_Syntax Instance |
17463 |
+ * |
17464 |
+ * Insures that only one instance of WP_Syntax exists in memory at any one time. |
17465 |
+ * |
17466 |
+ * @access public |
17467 |
+ * @since 1.0 |
17468 |
+ * |
17469 |
+ * @return WP_Syntax |
17470 |
+ */ |
17471 |
+ public static function getInstance() { |
17472 |
+ if ( ! isset( self::$instance ) ) { |
17473 |
+ self::$instance = new self; |
17474 |
+ self::$instance->init(); |
17475 |
+ } |
17476 |
+ return self::$instance; |
17477 |
+ } |
17478 |
+ |
17479 |
+ /** |
17480 |
+ * Initiate the plugin. |
17481 |
+ * |
17482 |
+ * @access private |
17483 |
+ * @since 1.0 |
17484 |
+ * @return void |
17485 |
+ */ |
17486 |
+ private function init() { |
17487 |
+ |
17488 |
+ self::defineConstants(); |
17489 |
+ self::inludeDependencies(); |
17490 |
+ |
17491 |
+ self::$token = md5( uniqid( rand() ) ); |
17492 |
+ |
17493 |
+ self::$matches = array(); |
17494 |
+ |
17495 |
+ // Nothing to do during activation/deactivation yet... |
17496 |
+ // register_activation_hook( dirname(__FILE__) . '/wp-syntax.php', array( __CLASS__, 'activate' ) ); |
17497 |
+ // register_deactivation_hook( dirname(__FILE__) . '/wp-syntax.php', array( __CLASS__, 'deactivate' ) ); |
17498 |
+ |
17499 |
+ // Nothing to translate presently. |
17500 |
+ // load_plugin_textdomain( 'wp_syntax' , false , WPS__DIR_NAME . 'lang' ); |
17501 |
+ |
17502 |
+ //Invalidate cache whenever new/updated posts/comments are made |
17503 |
+ add_action( 'save_post', array( __CLASS__, 'invalidatePostCache' ) ); |
17504 |
+ add_action( 'comment_post', array( __CLASS__, 'invalidateCommentCache' ) ); |
17505 |
+ add_action( 'edit_comment', array( __CLASS__, 'invalidateCommentCache' ) ); |
17506 |
+ |
17507 |
+ add_action( 'wp_enqueue_scripts', array( __CLASS__, 'enqueueScripts' ) ); |
17508 |
+ |
17509 |
+ // Update config for WYSIWYG editor to accept the pre tag and its attributes. |
17510 |
+ add_filter( 'tiny_mce_before_init', array( __CLASS__,'tinyMCEConfig') ); |
17511 |
+ |
17512 |
+ // We want to run before other filters; hence, a priority of 0 was chosen. |
17513 |
+ // The lower the number, the higher the priority. 10 is the default and |
17514 |
+ // several formatting filters run at or around 6. |
17515 |
+ add_filter( 'the_content', array( __CLASS__, 'beforeFilter' ), 0 ); |
17516 |
+ add_filter( 'the_excerpt', array( __CLASS__, 'beforeFilter' ), 0 ); |
17517 |
+ add_filter( 'comment_text', array( __CLASS__, 'beforeFilter' ), 0 ); |
17518 |
+ |
17519 |
+ // We want to run after other filters; hence, a priority of 99. |
17520 |
+ add_filter( 'the_content', array( __CLASS__, 'afterFilterContent' ), 99 ); |
17521 |
+ add_filter( 'the_excerpt', array( __CLASS__, 'afterFilterExcerpt' ), 99 ); |
17522 |
+ add_filter( 'comment_text', array( __CLASS__, 'afterFilterComment' ), 99 ); |
17523 |
+ } |
17524 |
+ |
17525 |
+ /** |
17526 |
+ * Define the constants. |
17527 |
+ * |
17528 |
+ * @access private |
17529 |
+ * @since 1.0 |
17530 |
+ * @return void |
17531 |
+ */ |
17532 |
+ private static function defineConstants() { |
17533 |
+ |
17534 |
+ define( 'WPS_VERSION', '1.1' ); |
17535 |
+ |
17536 |
+ define( 'WPS_DIR_NAME', plugin_basename( dirname( __FILE__ ) ) ); |
17537 |
+ define( 'WPS_BASE_NAME', plugin_basename( __FILE__ ) ); |
17538 |
+ define( 'WPS_BASE_PATH', plugin_dir_path( __FILE__ ) ); |
17539 |
+ define( 'WPS_BASE_URL', plugin_dir_url( __FILE__ ) ); |
17540 |
+ |
17541 |
+ } |
17542 |
+ |
17543 |
+ public static function invalidatePostCache( $post_id ) { |
17544 |
+ |
17545 |
+ delete_post_meta( $post_id, 'wp-syntax-cache-content' ); |
17546 |
+ delete_post_meta( $post_id, 'wp-syntax-cache-excerpt' ); |
17547 |
+ } |
17548 |
+ |
17549 |
+ public static function invalidateCommentCache( $comment_id ) { |
17550 |
+ |
17551 |
+ delete_comment_meta( $comment_id, 'wp-syntax-cache-comment' ); |
17552 |
+ } |
17553 |
+ |
17554 |
+ private static function inludeDependencies() { |
17555 |
+ |
17556 |
+ include_once( 'geshi/geshi.php' ); |
17557 |
+ |
17558 |
+ } |
17559 |
+ |
17560 |
+ /** |
17561 |
+ * Called when activating via the activation hook. |
17562 |
+ * |
17563 |
+ * @access private |
17564 |
+ * @since 1.0 |
17565 |
+ * @return void |
17566 |
+ */ |
17567 |
+ public static function activate() { |
17568 |
+ |
17569 |
+ } |
17570 |
+ |
17571 |
+ /** |
17572 |
+ * Called when deactivating via the deactivation hook. |
17573 |
+ * |
17574 |
+ * @access private |
17575 |
+ * @since 1.0 |
17576 |
+ * @return void |
17577 |
+ */ |
17578 |
+ public static function deactivate() { |
17579 |
+ |
17580 |
+ } |
17581 |
+ |
17582 |
+ /** |
17583 |
+ * Enqueue the CSS and JavaScripts. |
17584 |
+ * |
17585 |
+ * @access private |
17586 |
+ * @since 1.0 |
17587 |
+ * @return void |
17588 |
+ */ |
17589 |
+ public static function enqueueScripts() { |
17590 |
+ |
17591 |
+ // If a wp-syntax.css file exists in the theme folder use it instead. |
17592 |
+ $url = file_exists( STYLESHEETPATH . '/wp-syntax.css' ) ? get_bloginfo( 'stylesheet_directory' ) . '/wp-syntax.css' : WPS_BASE_URL . 'css/wp-syntax.css'; |
17593 |
+ |
17594 |
+ // Enqueue the CSS |
17595 |
+ wp_enqueue_style( 'wp-syntax-css', $url, array(), WPS_VERSION ); |
17596 |
+ |
17597 |
+ // Enqueue the Adobe Source Code Pro font |
17598 |
+ //wp_enqueue_style( 'source-code-font', 'http://fonts.googleapis.com/css?family=Source+Code+Pro'); |
17599 |
+ |
17600 |
+ // Enqueue the JavaScript |
17601 |
+ wp_enqueue_script( 'wp-syntax-js', WPS_BASE_URL . 'js/wp-syntax.js', array( 'jquery' ), WPS_VERSION, TRUE ); |
17602 |
+ |
17603 |
+ } |
17604 |
+ |
17605 |
+ /** |
17606 |
+ * Update the TinyMCE config to add support for the pre tag and its attributes. |
17607 |
+ * |
17608 |
+ * @access private |
17609 |
+ * @since 0.9.13 |
17610 |
+ * @param array $init The TinyMCE config. |
17611 |
+ * @return array |
17612 |
+ */ |
17613 |
+ public static function tinyMCEConfig( $init ) { |
17614 |
+ |
17615 |
+ $ext = 'pre[id|name|class|style|lang|line|escaped|highlight|src]'; |
17616 |
+ |
17617 |
+ if ( isset( $init['extended_valid_elements'] ) ) { |
17618 |
+ $init['extended_valid_elements'] .= "," . $ext; |
17619 |
+ } else { |
17620 |
+ $init['extended_valid_elements'] = $ext; |
17621 |
+ } |
17622 |
+ |
17623 |
+ return $init; |
17624 |
+ } |
17625 |
+ |
17626 |
+ // special ltrim b/c leading whitespace matters on 1st line of content |
17627 |
+ public static function trimCode( $code ) { |
17628 |
+ $code = preg_replace("/^\s*\n/siU", '', $code); |
17629 |
+ $code = rtrim ($code ); |
17630 |
+ return $code; |
17631 |
+ } |
17632 |
+ |
17633 |
+ public static function substituteToken( &$match ) { |
17634 |
+ // global $wp_syntax_token, $wp_syntax_matches; |
17635 |
+ |
17636 |
+ $i = count( self::$matches ); |
17637 |
+ self::$matches[ $i ] = $match; |
17638 |
+ |
17639 |
+ return "\n\n<p>" . self::$token . sprintf( '%03d', $i ) . "</p>\n\n"; |
17640 |
+ } |
17641 |
+ |
17642 |
+ public static function lineNumbers( $code, $start ) { |
17643 |
+ |
17644 |
+ $line_count = count( explode( "\n", $code ) ); |
17645 |
+ $output = '<pre>'; |
17646 |
+ |
17647 |
+ for ( $i = 0; $i < $line_count; $i++ ) { |
17648 |
+ $output .= ( $start + $i ) . "\n"; |
17649 |
+ } |
17650 |
+ |
17651 |
+ $output .= '</pre>'; |
17652 |
+ |
17653 |
+ return $output; |
17654 |
+ } |
17655 |
+ |
17656 |
+ public static function caption( $url ) { |
17657 |
+ |
17658 |
+ $parsed = parse_url( $url ); |
17659 |
+ $path = pathinfo( $parsed['path'] ); |
17660 |
+ $caption = ''; |
17661 |
+ |
17662 |
+ if ( ! isset( $path['filename'] ) ) { |
17663 |
+ return ''; |
17664 |
+ } |
17665 |
+ |
17666 |
+ if ( isset( $parsed['scheme'] ) ) { |
17667 |
+ $caption .= '<a href="' . $url . '">'; |
17668 |
+ } |
17669 |
+ |
17670 |
+ if ( isset( $parsed["host"] ) && $parsed["host"] == 'github.com' ) |
17671 |
+ { |
17672 |
+ $caption .= substr( $parsed['path'], strpos( $parsed['path'], '/', 1 ) ); /* strip github.com username */ |
17673 |
+ } else { |
17674 |
+ $caption .= $parsed['path']; |
17675 |
+ } |
17676 |
+ |
17677 |
+ /* $caption . $path["filename"]; |
17678 |
+ if (isset($path["extension"])) { |
17679 |
+ $caption .= "." . $path["extension"]; |
17680 |
+ }*/ |
17681 |
+ |
17682 |
+ if ( isset($parsed['scheme']) ) { |
17683 |
+ $caption .= '</a>'; |
17684 |
+ } |
17685 |
+ |
17686 |
+ return $caption; |
17687 |
+ } |
17688 |
+ |
17689 |
+ public static function highlight( $match ) { |
17690 |
+ // global $wp_syntax_matches; |
17691 |
+ |
17692 |
+ // Keep track of which <pre> tag we're up to |
17693 |
+ self::$cache_match_num++; |
17694 |
+ |
17695 |
+ // Do we have cache? Serve it! |
17696 |
+ if ( isset( self::$cache[ self::$cache_match_num ] ) ) { |
17697 |
+ return self::$cache[ self::$cache_match_num ]; |
17698 |
+ } |
17699 |
+ |
17700 |
+ $i = intval( $match[1] ); |
17701 |
+ $match = self::$matches[ $i ]; |
17702 |
+ |
17703 |
+ $language = strtolower( trim( $match[1] ) ); |
17704 |
+ $line = trim( $match[2] ); |
17705 |
+ $escaped = trim( $match[3] ); |
17706 |
+ $caption = self::caption( $match[5] ); |
17707 |
+ $code = self::trimCode( $match[6] ); |
17708 |
+ |
17709 |
+ if ( $escaped == 'true' ) $code = htmlspecialchars_decode( $code ); |
17710 |
+ |
17711 |
+ $geshi = new GeSHi( $code, $language ); |
17712 |
+ $geshi->enable_keyword_links( FALSE ); |
17713 |
+ |
17714 |
+ do_action_ref_array( 'wp_syntax_init_geshi', array( &$geshi ) ); |
17715 |
+ |
17716 |
+ if ( ! empty( $match[4] ) ) { |
17717 |
+ |
17718 |
+ $linespecs = strpos( $match[4], ",") == FALSE ? array( $match[4] ) : explode( ',', $match[4] ); |
17719 |
+ $lines = array(); |
17720 |
+ |
17721 |
+ foreach ( $linespecs as $spec ) { |
17722 |
+ $range = explode( '-', $spec ); |
17723 |
+ $lines = array_merge( $lines, ( count( $range ) == 2) ? range( $range[0], $range[1]) : $range ); |
17724 |
+ } |
17725 |
+ |
17726 |
+ $geshi->highlight_lines_extra( $lines ); |
17727 |
+ } |
17728 |
+ |
17729 |
+ $output = "\n" . '<div class="wp_syntax" style="position:relative;">'; |
17730 |
+ $output .= '<table>'; |
17731 |
+ |
17732 |
+ if ( ! empty( $caption ) ) { |
17733 |
+ $output .= '<caption>' . $caption . '</caption>'; |
17734 |
+ } |
17735 |
+ |
17736 |
+ $output .= '<tr>'; |
17737 |
+ |
17738 |
+ if ( $line ) { |
17739 |
+ $output .='<td class="line_numbers">' . self::lineNumbers( $code, $line ) . '</td>'; |
17740 |
+ } |
17741 |
+ |
17742 |
+ $output .= '<td class="code">'; |
17743 |
+ $output .= $geshi->parse_code(); |
17744 |
+ $output .= '</td></tr></table>'; |
17745 |
+ $output .= '<p class="theCode" style="display:none;">'.htmlspecialchars($code).'</p>'; |
17746 |
+ $output .= '</div>' . "\n"; |
17747 |
+ |
17748 |
+ if ( self::$cache_generate ) { |
17749 |
+ self::$cache[ self::$cache_match_num ] = $output; |
17750 |
+ } |
17751 |
+ |
17752 |
+ return $output; |
17753 |
+ } |
17754 |
+ |
17755 |
+ /** |
17756 |
+ * @param string $content |
17757 |
+ * |
17758 |
+ * @return string |
17759 |
+ */ |
17760 |
+ public static function beforeFilter( $content ) { |
17761 |
+ |
17762 |
+ /* |
17763 |
+ * Run this only after the page head has been rendered. |
17764 |
+ * This is to make it compatible with Yoast SEO. Unfortunately if this filter is run any sooner, any shortcodes |
17765 |
+ * which may exist in the post/page content is stripped by Yoast SEO so when code blocks are cached, they will be |
17766 |
+ * cached without the shortcodes in the code blocks. Other than this it seems to work correctly. |
17767 |
+ * |
17768 |
+ * NOTE: Yoast seems to do this as part of rendering the opengraph in the page head. |
17769 |
+ */ |
17770 |
+ if ( did_action( 'wp_print_scripts' ) ) { |
17771 |
+ |
17772 |
+ return preg_replace_callback( |
17773 |
+ "/\s*<pre(?:lang=[\"']([\w-]+)[\"']|line=[\"'](\d*)[\"']|escaped=[\"'](true|false)?[\"']|highlight=[\"']((?:\d+[,-])*\d+)[\"']|src=[\"']([^\"']+)[\"']|\s)+>(.*)<\/pre>\s*/siU", |
17774 |
+ array( __CLASS__, 'substituteToken' ), |
17775 |
+ $content |
17776 |
+ ); |
17777 |
+ } |
17778 |
+ |
17779 |
+ return $content; |
17780 |
+ } |
17781 |
+ |
17782 |
+ public static function afterFilterContent( $content ) { |
17783 |
+ |
17784 |
+ global $post; |
17785 |
+ |
17786 |
+ $the_post = $post; |
17787 |
+ $the_post_id = $post->ID; |
17788 |
+ |
17789 |
+ //Reset cache settings on each filter - we might be showing |
17790 |
+ //multiple posts on the one page |
17791 |
+ self::$cache = array(); |
17792 |
+ self::$cache_match_num = 0; |
17793 |
+ self::$cache_generate = FALSE; |
17794 |
+ |
17795 |
+ if ( is_object( $the_post ) ) { |
17796 |
+ self::$cache = get_post_meta( $the_post_id, 'wp-syntax-cache-content', TRUE ); |
17797 |
+ |
17798 |
+ if ( ! self::$cache ) { |
17799 |
+ //Make sure self::$cache is an array |
17800 |
+ self::$cache = array(); |
17801 |
+ //Inform the highlight() method that we're regenning |
17802 |
+ self::$cache_generate = TRUE; |
17803 |
+ } |
17804 |
+ } |
17805 |
+ |
17806 |
+ $content = self::afterFilter( $content ); |
17807 |
+ |
17808 |
+ //Update cache if we're generating and were there <pre> tags generated |
17809 |
+ if ( is_object( $the_post ) && self::$cache_generate && self::$cache ) { |
17810 |
+ update_post_meta( $the_post_id, 'wp-syntax-cache-content', wp_slash( self::$cache ) ); |
17811 |
+ } |
17812 |
+ |
17813 |
+ return $content; |
17814 |
+ } |
17815 |
+ |
17816 |
+ public static function afterFilterExcerpt( $content ) { |
17817 |
+ |
17818 |
+ global $post; |
17819 |
+ $the_post = $post; |
17820 |
+ $the_post_id = $post->ID; |
17821 |
+ |
17822 |
+ //Reset cache settings on each filter - we might be showing |
17823 |
+ //multiple posts on the one page |
17824 |
+ self::$cache = array(); |
17825 |
+ self::$cache_match_num = 0; |
17826 |
+ self::$cache_generate = FALSE; |
17827 |
+ |
17828 |
+ if ( is_object( $the_post ) ) { |
17829 |
+ self::$cache = get_post_meta( $the_post_id, 'wp-syntax-cache-excerpt', TRUE ); |
17830 |
+ |
17831 |
+ if ( ! self::$cache ) { |
17832 |
+ //Make sure self::$cache is an array |
17833 |
+ self::$cache = array(); |
17834 |
+ //Inform the highlight() method that we're regenning |
17835 |
+ self::$cache_generate = TRUE; |
17836 |
+ } |
17837 |
+ } |
17838 |
+ |
17839 |
+ $content = self::afterFilter( $content ); |
17840 |
+ |
17841 |
+ //Update cache if we're generating and were there <pre> tags generated |
17842 |
+ if ( is_object( $the_post ) && self::$cache_generate && self::$cache ) { |
17843 |
+ update_post_meta( $the_post_id, 'wp-syntax-cache-excerpt', self::$cache ); |
17844 |
+ } |
17845 |
+ |
17846 |
+ return $content; |
17847 |
+ } |
17848 |
+ |
17849 |
+ public static function afterFilterComment( $content ) { |
17850 |
+ |
17851 |
+ global $comment; |
17852 |
+ $the_post = $comment; |
17853 |
+ $the_post_id = $comment->comment_ID; |
17854 |
+ |
17855 |
+ if ( is_object( $the_post ) ) { |
17856 |
+ self::$cache = get_comment_meta( $the_post_id, 'wp-syntax-cache-comment', TRUE ); |
17857 |
+ |
17858 |
+ if ( ! self::$cache ) { |
17859 |
+ //Make sure self::$cache is an array |
17860 |
+ self::$cache = array(); |
17861 |
+ //Inform the highlight() method that we're regenning |
17862 |
+ self::$cache_generate = TRUE; |
17863 |
+ } |
17864 |
+ } |
17865 |
+ |
17866 |
+ $content = self::afterFilter( $content ); |
17867 |
+ |
17868 |
+ //Update cache if we're generating and were there <pre> tags generated |
17869 |
+ if ( is_object( $the_post ) && self::$cache_generate && self::$cache ) { |
17870 |
+ update_comment_meta( $the_post_id, 'wp-syntax-cache-comment', self::$cache ); |
17871 |
+ } |
17872 |
+ |
17873 |
+ return $content; |
17874 |
+ } |
17875 |
+ |
17876 |
+ public static function afterFilter( $content ) { |
17877 |
+ // global $wp_syntax_token; |
17878 |
+ |
17879 |
+ $content = preg_replace_callback( |
17880 |
+ '/<p>\s*' . self::$token . '(\d{3})\s*<\/p>/si', |
17881 |
+ array( __CLASS__, 'highlight' ), |
17882 |
+ $content |
17883 |
+ ); |
17884 |
+ |
17885 |
+ return $content; |
17886 |
+ } |
17887 |
+ |
17888 |
+ } |
17889 |
+ |
17890 |
+ /** |
17891 |
+ * The main function responsible for returning the WP_Syntax instance |
17892 |
+ * to functions everywhere. |
17893 |
+ * |
17894 |
+ * Use this function like you would a global variable, except without needing |
17895 |
+ * to declare the global. |
17896 |
+ * |
17897 |
+ * Example: <?php $wp_syntex = WP_Syntax(); ?> |
17898 |
+ * |
17899 |
+ * @access public |
17900 |
+ * @since 1.0 |
17901 |
+ * @return WP_Syntax |
17902 |
+ */ |
17903 |
+ function WP_Syntax() { |
17904 |
+ return WP_Syntax::getInstance(); |
17905 |
+ } |
17906 |
+ |
17907 |
+ /** |
17908 |
+ * Start the plugin. |
17909 |
+ */ |
17910 |
+ add_action( 'plugins_loaded', 'WP_Syntax' ); |
17911 |
+ |
17912 |
+} |